首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 390 毫秒
1.
针对一般电桥测量电路的输出量为模拟信号的问题,提出了一种具有模数转换( A/D: Analog-to-Digital)功能的数字电位器电桥测量电路。该电路由数字电位器、微处理器、电压比较器等芯片组成,实现了转换速度为226 ~ 926 μs、测量相对误差小于0. 15% 的A/D 转换功能。经实验验证,该电路不仅能自动调节电桥平衡,还可实时测量且输出电桥可变电阻值或待测信号的数字量,该设计扩展了电桥的功能,省去一般采用电桥的电路系统中的A/D 转换芯片,简化了电路设计。  相似文献   

2.
采用视频A/D(模拟/数字)芯片和FPGA(现场可编程门阵列)技术,实现了多路视频整合输入。该方案用MPEG-4专用编码芯片对多路视频进行编码,通过FPGA实现PCI桥,从而形成完整的多路视频编码器。实践证明,该方案具有效果好、方案灵活、性能价格比高等优点。  相似文献   

3.
提出了一种基于 FPGA 的高精度正弦波信号源设计方案。该信号源采用直接数字合成的方式(DDS),以及使用FPGA、D/A芯片和滤波器等来实现。该设计的信号源输出频率范围为200 Hz-100 kHz,幅值范围为0-5 V。实验结果表明,该信号源相位、幅值、频率均可调,具有精度高、灵活性好等优点。  相似文献   

4.
给出了一种基于FPGA的高速并行A/D采样控制电路的设计方法.该电路能与各种单片机系统进行友好连接,能够实现高速A/D采集转换和转换后的数据存取.文中以ADC0809为例,详细介绍了含有FIFO存储器的A/D采样控制电路的设计方法,并给出了A/D采样控制电路的VHDL源程序和整个采样存储的顶层电路原理图.  相似文献   

5.
一种基于FPGA的BPSK数字调制器的设计   总被引:1,自引:0,他引:1  
提出了一种基于FPGA芯片及D/A转换器的频率可调、相位可控的BPSK数字调制器的实现方案,同时阐述了电路的设计思路和实现方法.经过软件仿真,输出波形达到了技术要求,控制灵活,性能较好,也证明了基于FPGA的BPSK数字调制器的可靠性和可行性.  相似文献   

6.
数字存储示波器的核心是时基电路,超前/滞后控制电路是时基电路的核心。其设计是数字存储示波器的关键。用可编程语言FPGA实现设计了用前置计数器和后置计数器控制触发点前后的采集样点数和数据的方案。  相似文献   

7.
以微小型飞行器为控制对象,设计了一种基于Xscale FPGA的双芯片微小型数字控制系统.该系统用基于Xscale架构的微处理器处理导航算法和控制算法,用FPGA处理外部信号核心.选择嵌入式Linux作为软件平台,完成了Bootloader设计、嵌入式Linux的裁减和主要器件FPGA的驱动设计.针对FPGA所需处理的信号,设计了用于A/D采样的硬件电路,采用硬件描述语言对电路模块进行了软件设计.实验测试结果表明,该系统具有较高的集成度和较好的实时性.  相似文献   

8.
在数据采集理论的基础上,提出系统整体硬件设计方案。采用12位双通道的A/D电路设计,选用的高速A/D芯片是AD9226,理论值上最高采样率可达到65 MSPS。12位双通道A/D板通过40个扩展口与FPGA系统进行相连接。经过测试,能够实现50M高速采集的功能。  相似文献   

9.
以Altera公司的现场可编程门陈列EP1C6Q240为核心器件,研究FPGA在数字示波器中的应用.充分利用FPGA内部可定制的宏功能模块及其他丰富的资源,将数字示波器中的时钟分频电路、锁存器和数据缓存电路等集成在一片FPGA芯片上.给出了系统结构图和FPGA实现的各功能模块电路,并利用QuartusⅡ9.0对各模块进行设计、编译和仿真.实验测试表明,该系统能精确地测量各种信号波形,运行可靠,有效地降低了系统的成本.  相似文献   

10.
凌伟 《科学技术与工程》2012,12(36):9976-9979,9993
设计芯片功能测试装置,以FPGA为控制单元,利用FT245实现FPGA与上位机的通信。上位机发送测试数据,然后采集被测芯片的输出响应并分析。与理论上正确的数据进行比较,得出结论。对于模拟芯片设计了A/D和D/A转换模块,调试的结果表明,测试装置可完成对常用芯片的功能测试。  相似文献   

11.
探讨了DSP控制的有源电力滤波器硬件设计,以DSP芯片TMS320LF2407为主要核心控制芯片,配合采样周期信号发生电路以及A/D、D/A等辅助外围电路设计了有源电力滤波器的控制系统;给出了主逆变电路的实现方案;对设计出的样机进行了实验,实验结果表明:补偿电流几乎实时跟踪谐波电流,系统的补偿速度和精度大大提高,体现了数字控制系统的优势,同时,随着采样周期的减小,补偿效果会更加明显.本文提出的以TMS320LF2407为核心的有源电力滤波器在技术上是可行的.  相似文献   

12.
基于NiosⅡ的数字图像回放系统的设计   总被引:1,自引:0,他引:1  
研究了一种基于SOPC技术的嵌入式数字图像回放系统的设计方案.该系统通过在FPGA芯片上配置NiosⅡ软核处理器和相关的接口模块来实现其主要硬件电路,并在视频D/A转换芯片AD7123的支持下,结合系统的软件设计,实现了图像的高速D/A转换和回放等功能.由于采用了SOPC和DMA控制技术,该系统具有设计灵活、数据处理速度快和扩展性好等优点.  相似文献   

13.
核能谱测量技术是研究核物理、重离子物理、高能物理及核应用技术的重要方法。在数字化核能谱测量系统中,核脉冲高速采样方法是其关键技术之一,而高速采样又受限于模数转换芯片(A/D芯片)的转换速度和数字化处理芯片的工作频率。主要研究如何通过利用FPGA和多片低速A/D芯片实现单片高速A/D的功能,并通过具体的实验验证了该方法的正确性和可行性,效果良好。  相似文献   

14.
本文提出了在TP801单板机上实现数字信号处理的接口电路设计方案、包括输入接口及输出接口电路。输入接口电路由低通滤波器,采样保持电路(S/H),A/D转换、DMA电路及逻辑控制电路部分组成,输出接口包括3路16位D/A及电子偏移电路,而16位D/A用2片8位D/A组成。用OUT(C)、r指令完成16位输出。全部电路均已通过了调式。数字滤波器是近几年来迅速发展起来的一门新技术和学科,它广泛用于地震探测、雷达、通讯、声纳、语言分析和合成,生物医药等很多方面。实现数字滤波器既可以用软件,又可以用硬件。作者提出了用Z80汇编语言实现IIR数字低通滤波器的程序设计方案,并给出了程序清单。IIR数字滤波器程序、DMA传送数据程序及显示程序均已通过了调试。本系统采用FFT运算,分析实验数据,对512个点整个系统操作一次,即从数据采集到频谱显示需8秒左右。  相似文献   

15.
在对靶场光电仪器进行外场数据分析时,需要对仪器接收到的多种数据信号进行采集,为提高采集过程中数字控制系统A/D转换效率,并简化数据采集系统硬件,设计了基于FPGA控制AD7890芯片A/D转换和转换数据串行输出方案。介绍了串行多通道A/D转换器AD7890的工作原理,给出了在Xilinx公司的ISE 10.1软件开发平台下程序设计和仿真图,并进行了基于FPGA器件Virtex-4处理器硬件开发平台下的实验。实验表明,FPGA的控制是成功的,AD7890输出的二进制值010000000000和001001100111与加入到输入端的模拟电压5V和3V完全一致,充分发挥了12位AD7890的高精度特点。  相似文献   

16.
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计实现了一个频率、相位可调的正弦信号发生器,同时阐述了频率合成技术及直接数字频率合成(Direct Digital Frequency Synthesis ,简称:DDS)技术的原理、电路结构,及设计思路和实现方法,最后简要探讨了抑制DDS杂散和噪声的方法.经过设计和电路测试,输出波形达到了技术要求,控制灵活、性能也好,也证明了基于FPGA的DDS设计的可靠性和可行性.  相似文献   

17.
基于FPGA实现的步进电机细分控制信号发生器的设计   总被引:1,自引:0,他引:1  
提出一种采用FPGA可编程芯片实现的步进电机转角的任意细分控制方法.介绍了在一片EPF 10K 10LC 84-4芯片内用VHDL语言编程实现了步进电机十六细分控制器的PWM模块、速度控制模块、数字比较模块等功能,该系统无需外接D/A转换器,结构简单,控制精度高,具有广泛的应用前景.  相似文献   

18.
基于FPGA的高速并行A/D采样控制电路的设计   总被引:2,自引:0,他引:2  
给出了一种基于FPGA的高速并行A/D采样控制电路的设计方法.该电路能与各种单片机系统进行友好连接,能够实现高速A/D采集转换和转换后的数据存取.文中以ADC0809为例,详细介绍了含有FIFO存储器的MD采样控制电路的设计方法,并给出了A/D采样控制电路的VHDL源程序和整个采样存储的顶层电路原理图。  相似文献   

19.
基于DSP的转台速率控制系统设计   总被引:1,自引:1,他引:0  
以锁相环技术为基础,研究了转台速率控制系统的设计内容,利用数字信号处理芯片TMS320F2812、高速A/D和D/A芯片(AD7865和AD7836)以及FPGA技术完成了系统硬件电路设计和关键逻辑电路——指令脉冲发生器、精密移相器、鉴频鉴相器的设计,并设计了控制器,给出了软件设计流程和仿真结果.实际运行表明系统稳定可靠,满足了转台的设计性能要求.  相似文献   

20.
采用A/D转换芯片TLC5510实现高速数据采集,以Cyclone系列FPGA芯片作为采样控制器控制TLC5510进行实时采样并存储。该系统提供了数字接口,便于进一步在系统内进行功能扩展以及实现与外部扩展电路的数字通讯和数据传输。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号