首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于FPGA的高速串行AD转换器控制设计与实验研究
引用本文:杨大伟 杨秀芳 李大伟 韩俊锋,陈剑虹.基于FPGA的高速串行AD转换器控制设计与实验研究[J].西安理工大学学报,2014(1):91-95.
作者姓名:杨大伟 杨秀芳 李大伟 韩俊锋  陈剑虹
摘    要:在对靶场光电仪器进行外场数据分析时,需要对仪器接收到的多种数据信号进行采集,为提高采集过程中数字控制系统A/D转换效率,并简化数据采集系统硬件,设计了基于FPGA控制AD7890芯片A/D转换和转换数据串行输出方案。介绍了串行多通道A/D转换器AD7890的工作原理,给出了在Xilinx公司的ISE 10.1软件开发平台下程序设计和仿真图,并进行了基于FPGA器件Virtex-4处理器硬件开发平台下的实验。实验表明,FPGA的控制是成功的,AD7890输出的二进制值010000000000和001001100111与加入到输入端的模拟电压5V和3V完全一致,充分发挥了12位AD7890的高精度特点。

本文献已被 CNKI 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号