首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
应用MentorGraphicsEDA系统模拟仿真工具和VHDL语言的模拟、综合、优化工具,研究了智能化电子设计的模拟仿真及VHDL语言描述的电子设计的模拟、综合、优化问题。  相似文献   

2.
VHDL语言在数字电路教学中的应用   总被引:2,自引:0,他引:2  
潘泽强 《科技资讯》2008,(35):15-15
VHDL作为一种新型的硬件描述语言,主要用于数字电路与系统的描述、模拟和自动设计,是当今电子设计自动化(EDA)的核心技术。文章通过十六位计数器的实例介绍了用VHDL语言设计数字系统的流程和方法,并通过仿真实现预定目的。实践证明,VHDL语言在数字系统设计中具有硬件描述能力强,设计方法灵活等优点,从而降低了数字系统设计的难度,提高了工作效率。  相似文献   

3.
李要球  卢璐 《实验室科学》2011,14(5):97-99,103
随着数字电子技术的发展,传统的数字电路设计方法已不能满足现代数字集成电路系统设计要求,借助硬件描述语言完成硬件设计成为电子设计的趋势。通过介绍VHDL硬件描述语言的基本结构、基本特点和设计流程,以全加器为例说明用VHDL语言设计数字逻辑电路的方法,并给出了仿真结果。结果表明,VHDL对数字电路的硬件描述能力强,在设计上非常有效,是数字电路教学中全新的理论联系实际的方法和培养学生实际动手能力的有效工具。  相似文献   

4.
VHDL是一种标准的硬件描述语言,该语言可以描述硬件电路的功能、信号连接关系及定时关系,是当今电子设计自动化(EDA)的核心技术。本文通过简易电子表的设计实例,详细介绍了利用VHDL设计电路的流程和方法。  相似文献   

5.
VHDL在数字电路设计中的应用   总被引:3,自引:0,他引:3  
硬件描述语言已成为当今以及未来电子设计自动化(EDA)解决方案的核心,特别是对于深亚微米复杂数字系统的设计,硬件描述语言具有独特的作用。本利用硬件描述语言中的工业标准语言VHDL,设计了一个空调机控制器电路,并通过仿真实现了预定功能。结果表明,VHDL在数字电子电路的设计中具有硬件描述能力强、设计方法灵活等优点。  相似文献   

6.
四位乘法器的VHDL语言设计   总被引:1,自引:0,他引:1  
介绍了使用VHDL语言设计的4位乘法器,给出了功能仿真波形,举例说明了实现电子设计自动化(EDA)的过程。  相似文献   

7.
基于硬件描述语言(VHDL)的数字时钟设计   总被引:2,自引:0,他引:2  
VHDL作为一种硬件描述语言,可用于数字电路与系统的描述、模拟和自动设计与仿真等,是当今电子设计自动化的核心技术.本文使用VHDL语言设计了一个数字时钟电路,给出了设计该数字系统的流程和方法.本设计方法具有硬件描述能力强,设计方法灵活,便于修改等优点,大大降低了数字系统设计的难度,提高了工作效率.  相似文献   

8.
本文介绍了VHDL语言及其基本特点,讨论了VHDL语言在EDA中的诸多优点,并以四位频率计电路的设计为例,综合说明用VHDL语言设计数字电路的方法以及VHDL语言在数字电路设计仿真中的重要作用,并给出了频率计电路的时序仿真波形。以验证结果与设计指标之间的一致性是否满足实际要求。  相似文献   

9.
蒋海涛 《科技信息》2007,(10):179-180
本文介绍了VHDL语言及其基本特点和VHDL语言在数字频率计中的具体应用,说明了用VHDL语言设计数字系统的方法,并给出了仿真波形图。  相似文献   

10.
分析了超高速集成电路硬件描述语言 ( VHDL)在专用集成电路高层次设计方法上的重要作用 ,指出该语言进行电子设计的主要优势是 :可以使设计人员在设计的每个层次 (行为级、寄存器传输级、门级 )进行仿真和综合 .应用该语言对专用集成电路 (以 80 5 1微控制器为例 )进行了功能仿真 ,提出了下一时间仿真方法 .在 ACTIVE- VHDL软件环境下编制了 80 5 1微控制器的功能仿真程序 ,通过测试 ,该程序的功能仿真是正确的 .为专用集成电路的高层次设计提供了有益的经验  相似文献   

11.
谈笑玲 《科技信息》2012,(3):161-162
随着计算机应用的普及以及计算机技术的智能化和集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。基于EDA技术的跳舞机系统的设计是通过模拟跳舞游戏机的基本游戏规则,以可编程逻辑器件(FPGA)为核心,应用VHDL语言来设计实现的,并进行仿真测试,结果显示该设计符合设计要求,证实该设计方案切实可行。  相似文献   

12.
VHDL语言是现代电子设计的重要工具,数据对象是其中的重要语言要素.数据对象是VHDL语言要素的重要内容之一,通常有常量、变量和信号3类.变量与信号经综合后都能引入寄存器,从而产生相同的逻辑电路,初始值的功效相同;不同之处为定义位置、适用范围、延时行为特性等.  相似文献   

13.
VHDL语言具有与具体硬件无关和设计平台无关的特性。本文的闹钟设计与制作是基于VHDL语言,并对系统硬件设计和软件实现进行了详细的描述。  相似文献   

14.
函数发生器作为电子系统的重要组成部分,在电子设计领域中起着极其重要的作用.应用VHDL语言.在AIXEFLA公司的QUARTUS Ⅱ软件环境下,完成了频率可调的智能函数发生器的程序设计,并进行了逻辑综合、仿真和硬件下载,产生了正弦波、方波、三角波三种常用信号,系统的软件仿真和实验测试结果满足了设计要求,达到了预期的效果.由于设计采用了EDA技术,不但大大缩短了开发研制周期,提高了设计效率,而且使系统具有结构紧凑、设计灵活,实现简单,性能稳定的特点.  相似文献   

15.
基于可编程逻辑器件的数字系统设计   总被引:1,自引:0,他引:1  
采用混合设计的方法基于大规模可编程逻辑器件电子设计自动化技术,对于大型数字系统的设计进行了探讨,提出了如何划分多个层次,如何划分出各自独立的功能块是设计的关键。而低层的VHDL书写并不是那么重要。  相似文献   

16.
简要介绍了硬件描述语言VHDL语言的基本结构 ,并将应用VHDL语言的软件设计方法和传统的数字电路硬件设计方法相对照 ,阐述了其在数字电路设计上的应用  相似文献   

17.
VHDL语言作为通用的硬件描述语言,掌握其语言特点,并能够在具体的系统设计中灵活运用VHDL语言的建模方式,是提高高职学生编程能力,掌握和使用VHDL这一现代化的设计工具和设计理念的关键.  相似文献   

18.
电子设计自动化技术正成为电子系统设计的主流。本文基于可编程逻辑器件FPGA,利用硬件描述语言VHDL设计实现了一个简易中央处理器,为今后的进一步开发打下了基础。  相似文献   

19.
随着计算机软硬件技术及超大规模集成电路技术的发展,电子设计自动化(EDA)逐渐取代了传统的电子设计方法,而成为现代电子设计的基本手段。仿真是EDA技术的典型特征,从一个既定的设计任务(16bits比较器)开始,从设计构思、在EDA仿真软件(Modelsim SE 5.6)平台上编写VHDL仿真软件、进行仿真测试等方面全面地介绍了进行系统计算机仿真的全过程。  相似文献   

20.
通过对FPGA芯片进行VHDL语言编程,并在EDA实验箱上下载、调试,实现了数字电压表的功能。具体方案是利用状态机的方法对ADC0809进行采样控制,并将采样后的信号转换为BCD码,经译码后再通过三位数码管进行显示。该设计突出了VHDL语言良好的电路描述和建模能力,从而大大简化了硬件设计任务,提高了设计效率。由于VHDL语言的灵活性和可扩展性以及EDA实验箱的反复利用性,减小了实验成本。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号