首页 | 本学科首页   官方微博 | 高级检索  
     检索      

四位乘法器的VHDL语言设计
引用本文:刘姝延,杨世平.四位乘法器的VHDL语言设计[J].烟台师范学院学报(自然科学版),2002,18(2):153-155.
作者姓名:刘姝延  杨世平
作者单位:[1]烟台师范学院物理系,山东烟台264025 [2]延安大学物理与电子信息系,陕西延安716000
摘    要:介绍了使用VHDL语言设计的4位乘法器,给出了功能仿真波形,举例说明了实现电子设计自动化(EDA)的过程。

关 键 词:VHDL语言  四位乘法器  功能仿真  电子设计自动化  数字系统  电路设计
本文献已被 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号