首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
简要介绍了硬件描述语言VHDL语言的基本结构 ,并将应用VHDL语言的软件设计方法和传统的数字电路硬件设计方法相对照 ,阐述了其在数字电路设计上的应用  相似文献   

2.
本文介绍了VHDL语言及其基本特点,讨论了VHDL语言在EDA中的诸多优点,并以四位频率计电路的设计为例,综合说明用VHDL语言设计数字电路的方法以及VHDL语言在数字电路设计仿真中的重要作用,并给出了频率计电路的时序仿真波形。以验证结果与设计指标之间的一致性是否满足实际要求。  相似文献   

3.
崔秀敏 《科技信息》2008,(23):48-48
传统数字电路实验采用TTL或CMOS芯片,不能满足现代数字系统设计的要求。而应用VHDL语言的数字电路降低了数字系统的设计难度,因而应用更加广泛。通过简易数字钟的设计流程,介绍了VHDL语言的自项向下、模块化的设计方法。从而说明VHDL语言在数字电路实验中的优点,对数字教学有一定的指导作用,对同学们设计能力的提高有很大的帮助。  相似文献   

4.
李要球  卢璐 《实验室科学》2011,14(5):97-99,103
随着数字电子技术的发展,传统的数字电路设计方法已不能满足现代数字集成电路系统设计要求,借助硬件描述语言完成硬件设计成为电子设计的趋势。通过介绍VHDL硬件描述语言的基本结构、基本特点和设计流程,以全加器为例说明用VHDL语言设计数字逻辑电路的方法,并给出了仿真结果。结果表明,VHDL对数字电路的硬件描述能力强,在设计上非常有效,是数字电路教学中全新的理论联系实际的方法和培养学生实际动手能力的有效工具。  相似文献   

5.
介绍了硬件描述语言VHDL的主要特点、语言程序结构及其设计思想.通过流水灯控制电路的设计过程,说明用VHDL进行数字电路设计,是电子电路设计方法上的一次变革.  相似文献   

6.
提出一种新的基于GP和VHDL结构描述的数字电路自动设计方法,介绍了该方法的设计思想和设计流程。算法中染色体用树结构来表示数字电路的结构,同时建立VHDL的结构描述和树结构的对应关系,采用基于真值表的适应度评价方法,实现GP操作。GP操作独立于可编程器件,使得进化的速度大大提高。最终进化结果是一个具有良好易读性和可移植性的采用结构描述VHDL程序。用半加器的设计进行了实验验证,得到了符合要求的VHDL程序。  相似文献   

7.
基于VHDL的数字系统设计具有设计技术齐全、方法灵活、支持广泛等优点,同时也是EDA技术的重要组成部分。通过设计实例,比较详尽地介绍了利用VHDL语言进行数字电路系统设计的流程结构和技术特征,并对设计的优化进行深入的研究和讨论。  相似文献   

8.
延时电路的VHDL设计   总被引:1,自引:0,他引:1  
VHDL语言现在已经成功地应用于硬件电路设计的模拟验证和综合优化等方面.本文首先采用了VHDL语言设计电路时采取的自顶向下的设计方法设计了数字电路经常用到的延时电路;而且以具体的延时电路设计分析了设计中所遇到的问题,并给出了解决方案.  相似文献   

9.
VHDL作为一种硬件描述语言,主要用于数字电路与系统的描述、模拟和自动设计,是当今电子设计自动化(EDA)的核心技术。文中简单介绍了VHDL语言的特点和相应的设计流程,并通过具体实例说明了VHDL语言在数字电子设计中的应用,给出了仿真结果,并对结果进行了分析讨论。  相似文献   

10.
介绍VHDL技术的发展过程,比较了传统实验与基于VHDL技术的数字电路实验的优缺点,给出了VHDL技术在实验教学中的应用方法。  相似文献   

11.
基于硬件描述语言(VHDL)的数字时钟设计   总被引:2,自引:0,他引:2  
VHDL作为一种硬件描述语言,可用于数字电路与系统的描述、模拟和自动设计与仿真等,是当今电子设计自动化的核心技术.本文使用VHDL语言设计了一个数字时钟电路,给出了设计该数字系统的流程和方法.本设计方法具有硬件描述能力强,设计方法灵活,便于修改等优点,大大降低了数字系统设计的难度,提高了工作效率.  相似文献   

12.
VHDL语言在数字电路教学中的应用   总被引:2,自引:0,他引:2  
潘泽强 《科技资讯》2008,(35):15-15
VHDL作为一种新型的硬件描述语言,主要用于数字电路与系统的描述、模拟和自动设计,是当今电子设计自动化(EDA)的核心技术。文章通过十六位计数器的实例介绍了用VHDL语言设计数字系统的流程和方法,并通过仿真实现预定目的。实践证明,VHDL语言在数字系统设计中具有硬件描述能力强,设计方法灵活等优点,从而降低了数字系统设计的难度,提高了工作效率。  相似文献   

13.
董佳辉 《科技信息》2009,(15):33-33
论述了在"数字电路"教学和实验中普及VHDL语言的必然性和必要性,对VHDL硬件描述语言的授课和实验方法作了初步探索。  相似文献   

14.
七段显示译码器是数字电路中的重要部件,其设计多年来采用传统方法。在EDA环境下,可以采用图形法以及用AHDL语言和VHDL语言三种不同的设计方法设计并仿真,提高了设计的效率。  相似文献   

15.
以搭载Altera公司FPGA的DE2开发板为平台,使用VHDL硬件语言,建立立方体停车场模型,遵循最优路径设计,研究动态坐标的算法,实现自动控制程序设计,为高校从事数字电路设计的师生及自控领域的工程技术人员,提供一此具有实际应用价值的参考。  相似文献   

16.
EDA(Electronic Design Automation)是以计算机为平台,原理图输入法、硬件描述语言(VHDL)为设计语言,可编程逻辑器件为实验载体,以ASIC/SOC芯片为目标器件进行必要的元件建模和系统仿真的电子产品自动化的设计过程,将传统的数字电路基础理论教学与现代EDA课程在教学内容和实践内容协调发展,EDA实践教学采用开放式实验教学模式,通过开设数字电路EDA实践教学工作,使学生从传统的数字电路设计方法过渡到现代先进的设计方法,在长期的教学实践中,数字电路课程自成体系,取得了良好的教学效果。EDA实践教学在顶层进行原理图的设计、仿真、纠错,也可用硬件描述语言对复杂系统进行描述,然后生成具体门级电路,学生可不受芯片的约束,进行数字电路相关实践内容。  相似文献   

17.
基于VHDL的正弦波发生器设计   总被引:1,自引:0,他引:1  
传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大,可移植性差.本文以正弦波发生器为例,利用EDA技术设计电路,侧重叙述了用VHDL来完成直接数字合成器(DDS)的设计,DDS由相位累加器和正弦ROM查找表两个功能块组成,其中ROM查找表由兆功能模块LPM_ROM来实现.  相似文献   

18.
吴德林 《科技信息》2010,(21):132-132,143
数字逻辑电路是重要的硬件基础课程,也是一门与应用密切相关的课程,其应用理论与方法随数字电路器件的发展而不断变革,EDA实验系统是为在系统可编程器件提供一个实验平台。课题研究的内容是基于EDA(电子设计自动化)实验系统,以VHDL为硬件描述语言,以Max+Plus Ⅱ为软件开发工具,设计了数字逻辑电路的实验程序,为数字电路初学者的逻辑设计抛砖引玉。  相似文献   

19.
谈笑玲 《科技信息》2012,(3):161-162
随着计算机应用的普及以及计算机技术的智能化和集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。基于EDA技术的跳舞机系统的设计是通过模拟跳舞游戏机的基本游戏规则,以可编程逻辑器件(FPGA)为核心,应用VHDL语言来设计实现的,并进行仿真测试,结果显示该设计符合设计要求,证实该设计方案切实可行。  相似文献   

20.
VHDL与仿真工具的应用   总被引:2,自引:0,他引:2  
介绍了国际标准硬件描述语言VHDL的特点和VHDL模拟仿真软件Active-VHDL3.2的功能、特点及相关功能的应用,给出了数字电路仿真时的6类激励信号源与参数的设置,并采用3种不同的信号激励设置方法,结合具体实例,进行了仿真。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号