首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
朱小祥 《科技信息》2012,(13):275-277
《EDA技术》课程是电子信息类专业的核心专业课之一,在教学过程中,贯彻以就业为导向,以学生为主体的指导思想,把教学内容从以逻辑门和触发器等通用器件为载体,以真值表和逻辑方程为表达式和依靠手工调试的传统的数字电路设计方法向以可编程逻辑器件为载体、以硬件描述语言为表达方式、以EDA技术为调试手段的现代数字系统设计方法转变。  相似文献   

2.
吴德林 《科技信息》2010,(21):132-132,143
数字逻辑电路是重要的硬件基础课程,也是一门与应用密切相关的课程,其应用理论与方法随数字电路器件的发展而不断变革,EDA实验系统是为在系统可编程器件提供一个实验平台。课题研究的内容是基于EDA(电子设计自动化)实验系统,以VHDL为硬件描述语言,以Max+Plus Ⅱ为软件开发工具,设计了数字逻辑电路的实验程序,为数字电路初学者的逻辑设计抛砖引玉。  相似文献   

3.
电子技术课程设计中引入EDA技术探讨   总被引:3,自引:0,他引:3  
针对一般高职院校的学生科研机会少,工程实践能力差的情况,分析了传统数字电子技术课程设计存在的问题。以智能抢答器系统的设计为例,引入EDA技术对传统的课程设计进行了改革。首先用原理图输入方法设计了智能抢答器系统并给出了模块划分方案,然后用硬件描述语言的方法实现了该系统。通过需求分析、功能划分、模块设计、仿真综合、下载调试等过程的教学训练,学生的工程实践能力得到了很大的提高。  相似文献   

4.
针对应用型人才的培养目标和数字电路在教学改革中存在的问题,该文从数字电路和EDA课程融合的角度入手,提出了借助数字逻辑及数字系统综合实验实训平台,融合基于FPGA的原理图设计方法与基于固定芯片的传统数字电路设计技术两方面的教学内容,旨在对学生由基础学习—深刻理解—实践设计—创新应用的提升培养。实践表明,课程融合逐步提高了应用型人才的培养质量。  相似文献   

5.
李要球  卢璐 《实验室科学》2011,14(5):97-99,103
随着数字电子技术的发展,传统的数字电路设计方法已不能满足现代数字集成电路系统设计要求,借助硬件描述语言完成硬件设计成为电子设计的趋势。通过介绍VHDL硬件描述语言的基本结构、基本特点和设计流程,以全加器为例说明用VHDL语言设计数字逻辑电路的方法,并给出了仿真结果。结果表明,VHDL对数字电路的硬件描述能力强,在设计上非常有效,是数字电路教学中全新的理论联系实际的方法和培养学生实际动手能力的有效工具。  相似文献   

6.
韩芳  陈帅 《科技信息》2010,(35):J0023-J0023,J0032
现代数字系统通常应用硬件描述语言和计算机辅助工具进行系统设计,在数字电路课程中融合硬件描述语言是发展的必然趋势,本文分析了数字电路与硬件描述语言两门课程的分离的缺点和融合的优势,提出了数字电路实验课教学内容和教学模式的改革的思路。  相似文献   

7.
刘硕 《科技信息》2012,(1):233-233,189
在电子设计领域.随着计算机技术、大规模集成电路技术、EDA技术的发展和可编程逻辑器件的广泛应用,传统的自下而上的数字电路设计方法、工具、器件已远远落后于当今技术的发展。基于EDA技术和硬件描述语言的自上而下的设计技术正在承担起越来越多的数字系统设计任务。本文的数字频率计设计.采用自上向下的设计方法,实现整个电路的测试信号控制、数据运算处理和控制数码管的显示输出。一块复杂可编程逻辑器件CPLD芯片EPM7128SLC84—15完成各种时序逻辑控制、计数功能。在MAX+PLUSii平台上.用VHDL语言编程完成了CPLD的软件设计、编译、调试、仿真。CPLD芯片的现场可编程性,不但大大缩短了开发研制周期.而且使本系统具有结构紧凑、体积小.可靠性高.测频范围宽、精度高等优点。本文详细论述了系统自上而下的设计方法及CPLD的软件编程设计。  相似文献   

8.
VHDL作为一种硬件描述语言,主要用于数字电路与系统的描述、模拟和自动设计,是当今电子设计自动化(EDA)的核心技术。文中简单介绍了VHDL语言的特点和相应的设计流程,并通过具体实例说明了VHDL语言在数字电子设计中的应用,给出了仿真结果,并对结果进行了分析讨论。  相似文献   

9.
结合多年数字电路设计应用实践与实验教学实际工作经验,发现现代Quartus II仿真工具中存在一定缺陷,除了标准芯片如定时器555芯片目前还不能仿真外,Quartus II更存在仿真成功的电路在实际中并不能使用。这对于应用自动化设计工具的广大电子工程设计者和从事数字电路EDA实验教学师生均有一定参考价值。  相似文献   

10.
宋昆 《科技信息》2010,(35):I0115-I0115,I0129
根据实际公用电话计时计费系统运行规律,基于VHDL硬件描述语言,利用FPGA器件EP1K30TC144-3和GW48EDA实验箱模拟了公用电话系统,通过了QuartusⅡ软件的功能仿真和实际调试,体现了EDA技术的设计优越性。  相似文献   

11.
VHDL在数字电路设计中的应用   总被引:3,自引:0,他引:3  
硬件描述语言已成为当今以及未来电子设计自动化(EDA)解决方案的核心,特别是对于深亚微米复杂数字系统的设计,硬件描述语言具有独特的作用。本利用硬件描述语言中的工业标准语言VHDL,设计了一个空调机控制器电路,并通过仿真实现了预定功能。结果表明,VHDL在数字电子电路的设计中具有硬件描述能力强、设计方法灵活等优点。  相似文献   

12.
目的研究复杂数字电路在EDA开发系统上的实现方法。方法在Quartus环境下利用VHDL及原理图输入法综合设计了8位十进制数字频率计。结果下栽/配置到”在系统可编程”实验板的目标器件上,经实际电路测试验证,达到了预期的设计要求。结论与传统设计方法相比,基于EDA技术的设计方案具有外围电路简单,程序修改灵活和调试容易等特点;设计的数字频率计测量范围大,精度高,读数直观清晰。  相似文献   

13.
基于CPLD的数字系统设计   总被引:6,自引:0,他引:6  
以数字钟的设计过程为例,介绍复杂可编程逻辑器件(CPLD)在数字系统设计中的应用,说明了CPLD、硬件描述语言和EDA开发软件的重要作用,给出了主要电路模块的VHDL设计和操作方法。  相似文献   

14.
研究利用超高速集成电路硬件描述语言(VHDL)设计某导弹数字控制器中硬件控制器的方法,结合EDA设计思想对该系统的硬件控制器进行总体功能和各子功能的分析、设计,然后利用VHDL对各功能模块进行描述,最后将已经过仿真检验的设计卸载到相应的可除编辑逻辑器件(EPLD)中,充分利用VHDL的灵活性、可移植性和可编程逻辑器件的静态可重复编程及在线动态重构特性,使硬件设计像软件一样通过编程实现,半实物仿真试验结果表明,硬件控制器性能稳定,满足了设计要求。  相似文献   

15.
可编程逻辑器件及硬件描述语言的EDA方法   总被引:5,自引:0,他引:5  
介绍了用可编程逻辑器件CPLD及硬件描述语言VHDL设计数字系统的方法和采用CPLD器件进行电路设计的优点,着重介绍了在电子系统设计中,使用CPLD器件和传统集成电路设计的不同,采用CPLD设计是一种基于芯片的、“自顶向下”的设计方法,本文给出了一种键盘扫描的硬件及软件的设计实例。  相似文献   

16.
现代电子设计技术的核心是EDA(电子设计自动化Electronic Design Automation)技术。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。1EDA技术的基本特征EDA代表了当今电子设计技术的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC…  相似文献   

17.
针对目前高校电工电子实验教学中存在的问题,该文从提高学生实践动手能力出发,在电工电子实验课程中引入可编程逻辑器件。把传统电子电路设计与FPGA设计做了比较,锻炼了学生基于模块化设计数字电路的能力以及调测复杂电路的水平。将组合逻辑和时序逻辑结合,通过VHDL语言设计动态显示典型案例,该案例可以作为其他单元电路设计的模板,突出了基于硬件描述语言设计硬件电路的灵活性。实践表明,案例教学法作为一种交互式的教学方法,增强了学生学习的兴趣,使学生主动参与学习,提高了学生对实际工程的认知能力和解决问题的综合能力。  相似文献   

18.
曹姣  周萧 《科技资讯》2011,(18):14-14
本文针对传统的四相移键控(QPSK)的调制解调方式提出一种基于高速硬件描述语言(VHDL)的数字式QPSK调制解调模型。这种新模型便于在目标芯片FPGA/CPLD上实现QPSK调制解调功能。文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。并给出了可编程逻辑器件FPGA的最新一代集成设计环境QuartusⅡ进行系统仿真的仿真结果。  相似文献   

19.
EDA教学实验箱的设计   总被引:4,自引:0,他引:4  
根据可编程器件的特征和“多任务电路结构重配置”的原理设计了EDA教学实验箱.该EDA教学实验箱以MAXPLUS Ⅱ为软件平台,以可编程逻辑器件为核心,应用在系统编程的方法,利用可编程控制芯片的相关电路及其他电路资源,可完成各种数字电路教学实验,并具有开发复杂数字系统的功能.并通过四位二进制数相乘实验为例说明在该实验箱上完成具体实验的过程.  相似文献   

20.
VHDL语言在数字电路教学中的应用   总被引:2,自引:0,他引:2  
潘泽强 《科技资讯》2008,(35):15-15
VHDL作为一种新型的硬件描述语言,主要用于数字电路与系统的描述、模拟和自动设计,是当今电子设计自动化(EDA)的核心技术。文章通过十六位计数器的实例介绍了用VHDL语言设计数字系统的流程和方法,并通过仿真实现预定目的。实践证明,VHDL语言在数字系统设计中具有硬件描述能力强,设计方法灵活等优点,从而降低了数字系统设计的难度,提高了工作效率。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号