首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 281 毫秒
1.
基于旁路分析的硬件木马检测方法   总被引:1,自引:0,他引:1  
集成电路芯片在不受控的制造过程中可能会被嵌入恶意电路结构,形成硬件木马,这给集成电路芯片的可靠性和可信度带来了极大的隐患,而利用传统的测试技术很难发现这些硬件木马.针对这一问题,文中提出了一种非破坏性的、基于旁路分析的硬件木马检测方法,它通过对芯片功耗瞬态变化情况的分析,采用奇异值分解算法对功耗进行统计处理来检测芯片中的硬件木马.在FPGA芯片上的硬件验证结果表明,即使在测量噪声和工艺扰动较大的环境中,文中方法也能检测出面积比原始电路小2个数量级的硬件木马.  相似文献   

2.
针对硬件木马旁路检测方法的噪声干扰问题,提出了基于自差分分析的硬件木马检测方法.基于旁路信号特征分析提出了两点假设:a.相同采样窗口内旁路信号的噪声变化小;b.不同激励下硬件木马的旁路特征存在差异.对同一采样窗口内不同激励的旁路信号进行自差分分析,将安全芯片与待测芯片的直接对比转变为自差分信号的相对差异分析,从而降低工艺噪声和环境噪声的干扰.提出了自差分分析的旁路信号模型以及相应检测流程.搭建了基于在线可编程门阵列芯片的验证平台,以8051微处理器内核为实验对象,采用马氏距离度量多点旁路信号差异,验证了假设的正确性,构建了待测芯片集合,成功检测出逻辑规模低至0.025%的硬件木马.  相似文献   

3.
针对硬件木马旁路检测中投影变换等方法可能造成的多点关联信息丢失问题,从旁路信号的点特征分析入手,对旁路信号轨迹多元正态分布特征的检验方法进行了分析和验证,采用多元正态分布的概率密度函数来描述金片旁路信号的分布特征,针对不同数据激励下的旁路信号轨迹,采用成对数据的t检验方法进行硬件木马判别.在FPGA平台上实现的8051微处理器中分别植入5种类型的硬件木马,并对电磁旁路信号进行了检测验证实验.实验结果表明:该方法能够成功检测逻辑规模低至0.05%的硬件木马.  相似文献   

4.
针对硬件木马的隐蔽性强和检测效率低等问题,提出一种基于电路活性测度的硬件木马检测方法.通过从恶意攻击者的角度分析电路,在电路的少态节点处植入木马激活模块(TAM),该方法可有效提升电路的整体活动性,进而提高硬件木马的激活度.以ISCAS'89基准电路S713为研究对象进行仿真验证,实验结果表明:TAM结构可将电路活动性提升1.7倍.在此基础上建立基于FPGA的测试平台开展侧信道分析实验,并采用主成分分析方法,实现低活性硬件木马的检测.  相似文献   

5.
针对集成电路芯片在制造过程中可能被嵌入恶意硬件电路、形成硬件木马这一问题,提出一种新的硬件木马设计技术.利用扩频通信原理,对芯片中的密钥或秘密数据进行扩频调制,有意形成器件噪声级别以下的电磁泄漏旁路,然后通过相关性分析技术提取秘密信息.对示例性AES(advanced encryption standard)密码电路的攻击实验表明,该硬件木马利用15 800个电磁信号样本,成功获取了AES中的8bit密钥.  相似文献   

6.
针对侧信道硬件木马检测方法受到工艺偏差噪声和测试噪声影响的问题,提出了一种基于最大似然因子分析结合聚类判别的硬件木马检测方法.首先获取待测芯片的功耗信息,利用因子分析的方法提取公共因子,并利用最大似然方法计算因子载荷矩阵,最后使用分层聚类方法对因子载荷矩阵进行分类,区分出含有硬件木马的待测电路.利用现场可编程门阵列检测平台在考虑工艺偏差影响的情况下进行了实验验证,结果表明:在母本电路等效门数约为4 292个与非门的情况下,采用基于因子分析结合聚类分析的硬件木马检测方法可以在工艺偏差条件下有效检测出占母本电路面积比0.44%左右的硬件木马.  相似文献   

7.
针对侧信道检测方法检出率不高的问题,提出一种基于主成分分析结合马氏距离的检测方法.通过对芯片功耗进行建模分析,首先采用主成分分析法对旁路信息中的微小差异进行放大提取,获取主特征,然后使用马氏距离进行判别区分,识别硬件木马.基于自主设计的FPGA(field-programmable gate array)检测平台进行实验验证,结果表明:采用基于主成分分析结合马氏距离的硬件木马检测方法可以有效检测出占母本电路面积0.6%左右的硬件木马.  相似文献   

8.
为了解决制造变异和噪声对已有硬件木马检测方法的挑战和干扰,提出了一种新的微弱木马信号检测技术,能够在较大的制造变异和噪声的背景下提取出木马特征信号.首先,将木马检测问题建模为特征提取模型,然后提出了一个基于时域约束估计器和主成分投影的统一子空间木马检测方法.并通过特定的子空间投影或重构信号分析,证实弱小的木马信号可以与各种噪声和干扰区分开来.该方法为已有的硬件木马检测方法提供了一种通用的消除制造变异和噪声影响的方法.设计实现了2个时序硬件木马,在ISCAS89基准电路上进行了仿真实验验证,并在FPGA上进行了硬件实物验证,实验结果均表明了所提方法的有效性和高检测精度.  相似文献   

9.
李雄伟 《科学技术与工程》2013,13(28):8284-8287
密码芯片的硬件安全模块在IC生产和制造的过程中易被植入硬件木马等恶意电路。鉴于硬件木马的强大功能,提出了基于RS232协议时隙的硬件木马设计方法。利用RS232协议的异步特性,对其发送密文字节间的时隙进行编码,从而实现密码芯片中密钥的隐蔽泄漏;并通过功能分析和电磁旁路分析两种方法成功检测出木马所发送出的密钥。实验表明,该硬件木马在占用不到40个寄存器的情况下,成功实现了DES密钥的泄漏。  相似文献   

10.
针对集成电路设计和制造中存在的硬件木马问题, 提出一种新的模型来提高木马检测能力。该模型基于有限状态机, 比组合电路型木马难于触发和检测。同时, 木马电路插入位置的选择也可以有效规避路径延时检测方法。实验选择ISCAS’89基准电路中的S349作为目标电路, 对功能和延时信息进行仿真。实验结果表明, 这种类型的木马难于激活, 并且选择合适的插入位置可以有效隐藏延时信息。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号