首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 396 毫秒
1.
CPLD器件和EDA技术的出现改变了传统的数字系统的设计思路.介绍了在智能仪表设计中,使用CPLD器件来扩展单片机接口的方法,包括CPLD逻辑功能的设计和单片机接口程序的编写等内容.  相似文献   

2.
本文主要介绍一种以可编程逻辑器件为主要控制核心,利用单片机实现控制显示和输入的数字存储示波器。系统中,根据模数转换器特征在CPLD内设计了高速信号采集模块和数据缓存功能的接口单元。利用单片机简单易行的处理能力实现了液晶显示屏(LCD)显示控制和键盘的输入控制。CPLD和单片机的结合,使得该系统利用CPLD快速采集输入信号并利用单片机控制慢速的LCD显示。  相似文献   

3.
为解决以单片机为控制核心的测量系统可靠性低速度不高的问题 ,阐述了利用可编程逻辑器件CPLD作为控制处理器件的三维位移测控系统的设计思想 ,以及基于VHDL硬件描述语言开发该CPLD器件的设计流程和方法 .  相似文献   

4.
在研究PCI(Peripheral Component Interconnect)总线的基础上,针对专用接口芯片 CPLD器件在性能、成本及设计复杂程度等方面进行了分析和比较,提出了一种采用S5933 CPLD实现通用高速PCI接口电路数据采集卡的设计.  相似文献   

5.
介绍了一种使用CPLD芯片设计LCD控制器的方法.用一片CPLD芯片就完成了全部的译码、时序控制、显示控制等功能,这样CPU和LCD之间就可能直接用一个CPLD芯片连接了,无需再加入其它的接口器件.对系统的硬件及软件都给出了较详细的说明,并用可编程逻辑器件MAX7000S系列予以实现.  相似文献   

6.
以单片机与CPLD为核心,设计了电话呼叫系统.其中CPLD完成对各逻辑接口的地址编码,单片机主要用于协调各功能模块,主要包括摘机检测、来电号码显示、拨号处理以及双方通信通道的建立的同时关闭主叫回铃音和断被叫振铃等,实现了两话机点对点的通信.  相似文献   

7.
介绍了一种使用CPLD芯片设计LCD控制器的方法 .用一片CPLD芯片就完成了全部的译码、时序控制、显示控制等功能 ,这样CPU和LCD之间就可能直接用一个CPLD芯片连接了 ,无需再加入其它的接口器件 .对系统的硬件及软件都给出了较详细的说明 ,并用可编程逻辑器件MAX70 0 0S系列予以实现  相似文献   

8.
本文介绍基于ALTERA公司的MAX7000s系列CPLD芯片设计出52路IO口扩展及逻辑输出控制的方案,用于多芯电缆线检测仪中。详细阐述51单片机与CPLD的硬软件接口,实现了单片机IO口扩展的一种方法。  相似文献   

9.
王冬 《科技信息》2009,(34):94-95
笔者利用CPLD芯片的大容量、可编程特性,设计了SCI串行接口芯片,以满足单片机与PC机串行接口的要求。着重介绍了该接口芯片的结构原理、硬件构成及接口芯片的VHDL程序设计过程,并通过CPLD的开发平台MAX+PLUXⅡ仿真,实验证明了设计的正确性。  相似文献   

10.
研制了一种基于EDA(电子设计自动化)技术的任意发生器,通过软件编辑对硬件结构和工作方式进行重构,使硬件设计如同软件设计那样。为解决CPLD器件资源的限制,提出了采用单片机控制CPLD器件的动态配置技术,文章分析了以Altera公司的CPLD器件为例的被动串行模式(PS)动态配置的原理和实现。  相似文献   

11.
给出了以单片机及基于单片机和CPLD相结合的两种方法实现的等精度数字测频装置,并给出了设计思想、原理框图和仿真波形。  相似文献   

12.
本文叙述了基于单片机和CPLD的步进电机控制系统。该系统采用单片机和CPLD作为核心器件。减少分立元件的使用,通过改变程序参数可以实现对不同型号步进电机的控制.在稳定性和灵活性等性能上都有了很大的提高,有利于步进电机控制系统的广泛应用。  相似文献   

13.
基于CPLD的相位差测量方法研究及实现   总被引:5,自引:0,他引:5  
针对传统相位测量方法测量精度不高、抗干扰能力差等缺点,采用复杂可编程逻辑器件CPLD和单片机的综合技术,应用CPLD进行相位和频率检测,单片机进行数据处理和显示,有效提高了检测精度和抗干扰能力。系统中的各种测量数据可通过液晶显示屏显示,并且具有日历,时间和掉电保护装置,具有良好的人机界面。  相似文献   

14.
该文提出并实现了一种反射式光纤共焦扫描显微镜(FOCSM)中图像采集系统硬软件的设计方案。FOCSM系统中,采用复杂可编程逻辑器件(CPLD)和微控制单元(MCU)构成带有图像采集、平面图像扫描的同步时序控制和扫描畸变校正功能的高速扫描电子控制系统。联调实验证明,此设计方案可以实现上述控制与平面扫描校正的基本功能。  相似文献   

15.
在ISP技术的基础上设计了一个单片机与CPLD的综合实验系统。该系统具有很强的开放性,不仅可以提供基本的实验,而且还能方便地进行扩展。单片机软件的编写除了用C语言实现外,还提供了部分的汇编语言程序。  相似文献   

16.
采用CPLD芯片实现了单片机与PC/104总线接口的并行通信,保证了响应的实时性。  相似文献   

17.
KEELOQ技术是一种多变化、抗截获、安全可靠的滚动码加密解密技术,广泛应用于各种防盗报警系统.CPLD实现KEELOQ技术与专用芯片实现相比灵活性高、易升级,与单片机实现相比可靠性高.文章提出了一种用CPLD实现的快速接收滚动码方案,给出了VHDL的核心程序以及仿真结果.  相似文献   

18.
为解决某型飞机导航综合系统核心导航计算机地面静止情况下不能进行系统动态联试难题,采用带MCU的USB接口芯片和CPLD成功设计了基于USB接口的模拟飞行仿真器。该飞行仿真器解决了系统动态联试的难题,缩短了试验时间。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号