首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
基于CPLD的曼彻斯特码高速传输系统设计   总被引:12,自引:4,他引:8  
介绍了一种基于复杂可编程逻辑器件(CPLD)的曼彻斯特码高速数据传输系统设计方案。其特点是:①将曼彻斯特编码、解码及其相关的控制逻辑集成于CPLD中,减小了传输系统的规模;②工作方式由微处理器(MPU)编程控制,可以根据传输信道的特性选择不同的数据格式及传输速率,通用性强;③输入、输出采用并行方式与先进先出缓冲存储器接口,传输过程中无须干预,降低了传输系统对MPU的负载,有利于系统总体性能的提高。该方案特别适用于实时多任务下的高速数据采集与传输系统。  相似文献   

2.
介绍了一种基于复杂可编程逻辑器件 (CPLD)的曼彻斯特码高速数据传输系统设计方案。其特点是 :①将曼彻斯特编码、解码及其相关的控制逻辑集成于CPLD中 ,减小了传输系统的规模 ;②工作方式由微处理器 (MPU)编程控制 ,可以根据传输信道的特性选择不同的数据格式及传输速率 ,通用性强 ;③输入、输出采用并行方式与先进先出缓冲存储器接口 ,传输过程中无须干预 ,降低了传输系统对MPU的负载 ,有利于系统总体性能的提高。该方案特别适用于实时多任务下的高速数据采集与传输系统。  相似文献   

3.
利用可编程门阵列(FPGA)技术的可重构性与灵活性,设计实现了一种数据率可调、具有曼彻斯特编码功能的数字信号发生器。该信号发生器结合了数字信号发生器和曼彻斯特编码的优点,弥补了信号发生器不具备曼彻斯特编码功能的不足。该系统采用硬件描述语言VHDL进行设计,使用Quartus II 8.0进行综合布线,最终适配到DE2开发板并用示波器等设备进行了验证测试。整个设计硬件结构简单、占用逻辑器件资源少、可靠性高、灵活性强、适应性好,具有一定的理论价值和应用前景。  相似文献   

4.
基于伪码捕获的迭代消息传递算法FPGA设计   总被引:1,自引:0,他引:1  
为了充分发挥伪码捕获的迭代消息传递算法快速捕获的特点,根据迭代消息传递捕获算法的流程,提出了其在FPGA上的实现方案,给出了用于迭代计算的RAM块组织结构、迭代方式和迭代后的数据分析方法.通过海上实测数据验证表明,实际捕获时间小于2s,能够快速捕获无线电导航系统中的天波干扰信号,证明了该算法的有效性和实用性.  相似文献   

5.
该文探讨了以现场可编程门阵列(FPGA)为核心的激光测距系统,通过对激光信号的编码和解码,利用激光发射控制时钟的分频和内部锁相环(PLL)倍频,实现了高频计时时钟,达到了激光测距的目的,并提高了系统的精度和稳定性。在接收方面突破普通的被动接收方式,提出了利用窗函数接收回波的主动接收方式,结合窄带滤光片的滤光效果,提高了系统的抗干扰性能。测试结果显示该激光测距系统达到了预期效果。  相似文献   

6.
为了解决石油测井仪器中数据长距离、可靠、高速传输的问题,利用C8051F005单片机的PCA定时器,组合相关硬件,实现曼彻斯特码的编解码。试验结果表明,该方法能正确、有效地发送和接收曼彻斯特码,抗干扰能力较强,在7 000 m电缆中能保证数据可靠、高效传输。  相似文献   

7.
FPGA实现曼彻斯特通信在测井中的应用   总被引:1,自引:0,他引:1  
曼彻斯特码是一种数据通讯线性码,它的每一个数据比特都是由一次电压转换的形式所表示的。曼彻斯特编码是一种超越传统数字传输的信道编码技术,由于其具有隐含时钟、去除了零频率信号的特性使得它在石油勘探测井中得到广泛的应用。曼彻斯特编码也已经被电信标准采用为10M以太网接口编码。本文讨论了使用FPGA实现M2,M5两种模式同时工作的方法和优点。  相似文献   

8.
介绍了一种在光纤通信系统中曼彻斯特编码器的设计.采用EDA技术结合VHDL语言,在MAXPLUS Ⅱ仿真环境下进行了仿真,波形正确,实现了编码设计.  相似文献   

9.
用FPGA实现曼彻斯特编解码   总被引:4,自引:0,他引:4  
使用MAXPLUSⅡ和FPGACompilerⅡ软件及VHDL硬件描述语言 ,采用自顶向下设计方法设计曼彻斯特编解码器 ,每帧数据包括同步字、有效数据和冗余校验位三部分 ,最终在Altera公司的FPGA芯片EPF1 0K1 0LC84 4进行验证 .实验结果表明 ,FPGA能很好地实现曼彻斯特编解码器 ,而且该编解码方式具有抗干扰能力强 ,传输速率高等优点  相似文献   

10.
QC-LDPC码编码器的FPGA实现   总被引:1,自引:0,他引:1  
准循环低密度奇偶校验(QC-LDPC)码具有优异的纠错性能,已被纳入空间数据系统咨询委员会(CCSDS)的近地轨道通信标准。分析了QC-LDPC码的特点,提出一种基于生成矩阵的编码方法。该方法利用循环矩阵特性简化生成矩阵的存储模式,减少了资源消耗,同时利用循环移位寄存器和累加器实现矩阵乘法,降低了编码算法复杂度。在Xilinx xc4vsx55 FPGA上,采用VHDL语言实现了CCSDS标准中(8176,7154)LDPC编码器的设计。仿真结果表明,设计的编码器资源占用较少,吞吐量约为228 Mbit/s。  相似文献   

11.
为了提高LDPC编码器的数据吞吐率,提出了一种基于RAM的改进型准循环LDPC码(quasi-cyclic low density parity-cheek,QC-LDPC)的编码器实现方法.采用RAM存储校验位,并引入指针来指示RAM的地址方法.从而取代传统编码架构中的移位寄存器,使编码过程通过对RAM的读写操作实现,校验位序列也通过对RAM的读操作串行输出.由于该编码器没有使用移位寄存器以及并串转换电路,从而大幅度节约了硬件资源并提高了数据吞吐率.  相似文献   

12.
基于FPGA的FSK调制解调系统设计   总被引:1,自引:0,他引:1  
根据FSK调制和解调的工作原理,提出了一种基于FPGA芯片的FSK调制解调器。该系统采用键控法设计调制器模块,利用过零检测法实现解调器模块。利用VHDL语言在Altera公司的Cyclone系列的EP1C12Q240C6芯片上软件编程,完成了整个系统相应的时序仿真。硬件实验测试表明:FSK解调信号波形和调制信号波形一致,二者之间存在一定的延时,满足系统的设计要求,整个系统具有较高的可靠性和移植性。  相似文献   

13.
周美朝  张静  李成杰 《科技信息》2013,(17):124-124
<正>曼彻斯特编码是相位调制的、自同步的、超越传统数字传输极限的一种编码/解码方式。曼彻斯特编码同步时钟信号就隐藏在数据波形中,码元位中间的正负跳变既作为数据信号的又作为时钟信号。曼彻斯特编码数据流中包含同步时钟信号和数据信号,在传输代码信息的同时,也将同步时钟信号一起传输到对方,并且每位编码中有一跳变,不存在直流分量,具有自同步能力和良好的抗干扰性能。  相似文献   

14.
利用可编程逻辑器件设计了一个16×16的LED点阵显示系统,通过设计VHDL程序从EEPROM(AT28C64)中取出相应的汉字代码,经过FPGA处理后,送给LED点阵显示,在相应电路控制下,能够变换不同的汉字。具有广泛的应用价值。  相似文献   

15.
为了提高LDPC编码器的数据吞吐率,提出了一种基于RAM的改进型准循环LDPC码(quasi-cyclic lowdensity parity-cheek,QC-LDPC)的编码器实现方法。采用RAM存储校验位,并引入指针来指示RAM的地址方法,从而取代传统编码架构中的移位寄存器,使编码过程通过对RAM的读写操作实现,校验位序列也通过对RAM的读操作串行输出。由于该编码器没有使用移位寄存器以及并串转换电路,从而大幅度节约了硬件资源并提高了数据吞吐率。  相似文献   

16.
基于Blahut提出的RS(Reed Solomon)码时域译码算法 ,提出了一种时域RS译码器 ,详细讨论了FPGA(现场可编程门阵列 )实现该译码器的过程 ,并以六进制RS( 63 ,4 7)码为例对用FPGA实现的RS译码器性能进行了分析 ,该译码器输入码流速率可达 6Mbit s,占用的FPGA (SpartanⅡ系列 )的资源不到相应频域译码器的一半。  相似文献   

17.
为解决求解布尔差分异或运算量大的问题,针对高速实时数据处理的需要,提出了用现场可编程门阵列(FPGA:Field Programmable Gate Array)实现故障测试码生成的方法,并阐述了用该方法对某型机载雷达电路进行故障诊断的全过程。通过仿真结果得出,采用48 MHz的时钟,对八输入的电路生成全部测试码只需43 μs,尤其是对较复杂电路,优势更为明显,为实现该雷达的快速故障诊断提供了一条新思路。  相似文献   

18.
针对模拟或基于DSP的磁悬浮轴承控制器和功率放大器,具有集成度和可靠性低及体积庞大的局限性,设计了一种基于现场可编程门阵列(FPGA)的磁悬浮轴承电控系统.首先应用MATLAB对各部分进行了建模,然后针对各部分参数对其性能的影响进行了仿真研究,最后对基于FPGA的数字功率放大器的纹波与动态特性进行了试验,并将它们应用到...  相似文献   

19.
传统的曼彻斯特解码往往是在信号连续波形的基础上进行的,即需要先对信号进行整形处理。在电磁随钻通信中,由于接收信号非常微弱,信噪比极低,对波形快速成功地解码有很大难度。详细介绍了某电磁随钻系统中的曼彻斯特解码算法应用,本解码算法是基于ADC采样的离散序列样本,可提高接收灵敏度,具有响应速度快、稳定性高等优点。  相似文献   

20.
运用VHDL硬件描述语言以及Max-plus软件平台,采用超前滞后型全数字锁相环提取位同步时钟的方法,设计了一种基于全数字锁相环的曼彻斯特编译码电路,给出了详细的设计过程和波形仿真,并在GW48-CK实验平台上进行了下载验证.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号