首页 | 本学科首页   官方微博 | 高级检索  
     检索      

利用FPGA内部DLL实现数字时钟恢复
引用本文:苏红,张俊辉.利用FPGA内部DLL实现数字时钟恢复[J].科学技术与工程,2007,7(18):4720-4722.
作者姓名:苏红  张俊辉
作者单位:1. 天津大学电子信息工程学院,天津,300072;天津光电集团有限公司,天津,300211
2. 天津光电集团有限公司,天津,300211
摘    要:首先分析了数字时钟恢复电路的原理,然后介绍一种利用XILINX FPGA内部数字延时锁定回路DLL的倍频功能,从接收的异步数据中恢复数据时钟的方法。

关 键 词:时钟恢复  FPGA  DLL
文章编号:1671-1819(2007)18-4720-03
修稿时间:2007-05-31

Realize Digital Clock Recovery With FPGA
SU Hong,ZHANG Jun -hui.Realize Digital Clock Recovery With FPGA[J].Science Technology and Engineering,2007,7(18):4720-4722.
Authors:SU Hong  ZHANG Jun -hui
Institution:Electronic Information Engineering Institute of Tianjin University1 ,Tianjin 30072; Tianjin Optical Electrical Comm. Tech. Co. , LTD. 2,Tianjin 300211,
Abstract:The principle of digital clock recovery circuit is first analyzed,then introduced how to recover the data clock from the received asynchronous data by using the function of multiple-frequency inside the XILINX FPGA digital DLL(delay-locked loop).
Keywords:clock recovery FPGA DLL
本文献已被 CNKI 维普 万方数据 等数据库收录!
点击此处可从《科学技术与工程》浏览原始摘要信息
点击此处可从《科学技术与工程》下载免费的PDF全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号