首页 | 本学科首页   官方微博 | 高级检索  
     检索      

用FPGA实现色空间RGB到YCbCr的转换
引用本文:吴康,刘耀元,胡民山.用FPGA实现色空间RGB到YCbCr的转换[J].南昌高专学报,2007,22(6):140-142.
作者姓名:吴康  刘耀元  胡民山
作者单位:1. 江西制造职业技术学院,江西,南昌,330095
2. 南昌理工学院,江西,南昌,330013
摘    要:在视频设计中,通常要实现色空间RGB到YCbCr的转换,该转换是对后级信号进行处理的基础。本文介绍一种RGB色空间到YCbCr色空间转换的电路设计。首先,给出色空间的定义以及色空间RGB到YCbCr的转换方程组。根据这些方程组,提出设计中的几个关键问题,并用硬件描述语言VHDL在FPGA器件上实现。

关 键 词:色空间  转换方程  FPGA
文章编号:1008-7354(2007)06-0140-03
修稿时间:2007年7月8日

A Color Space Shift from RGB to YCbCr through FPGA
Wu Kang,Liu Yaoyuan,Hu Minshan.A Color Space Shift from RGB to YCbCr through FPGA[J].Journal of Nanchang Junior College,2007,22(6):140-142.
Authors:Wu Kang  Liu Yaoyuan  Hu Minshan
Abstract:
Keywords:
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号