首页 | 本学科首页   官方微博 | 高级检索  
     检索      

用VHDL语言实现ALU的算术运算单元
引用本文:李飞,尹飞,刘兴成,陈兴文.用VHDL语言实现ALU的算术运算单元[J].大连民族学院学报,2002,4(3):9-11.
作者姓名:李飞  尹飞  刘兴成  陈兴文
作者单位:大连民族学院,机电信息工程系,辽宁,大连开发区,116600
摘    要:介绍了利用可编程逻辑器件实现高速8位ALU的算术运算单元的设计方法,并且给出了算术运算单元的项层原理图和用VHDL语言编写其子模块的程序.

关 键 词:算术逻辑存储单元  VHDL语言  CPLD
文章编号:1009-315X(2002)03-0009-03
修稿时间:2001年11月26

Accomplishing the Arithmetic Operation Unit of ALU with VHDL
Accomplishing the Arithmetic Operation Unit of ALU with VHDL.Accomplishing the Arithmetic Operation Unit of ALU with VHDL[J].Journal of Dalian Nationalities University,2002,4(3):9-11.
Authors:Accomplishing the Arithmetic Operation Unit of ALU with VHDL
Abstract:Ways to accomplish the arithmetic operation cell of ALU at high speed and eight-bit with programmable logic device areintroduced. Schematic documents and submodule program with VHDL language are also given.
Keywords:arithmetic-logic unit  VHDL language  CPLD  
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号