首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   29篇
  免费   0篇
综合类   29篇
  2016年   1篇
  2014年   1篇
  2013年   1篇
  2012年   1篇
  2011年   3篇
  2010年   2篇
  2009年   2篇
  2008年   6篇
  2006年   2篇
  2004年   1篇
  2003年   1篇
  2001年   3篇
  1997年   2篇
  1994年   1篇
  1993年   1篇
  1991年   1篇
排序方式: 共有29条查询结果,搜索用时 546 毫秒
11.
在Quartus II软件平台上采用电路图和文本文件相结合的方式完成数字钟电路的层次化建模,该电路具有正常显示(计时)、时间调整(校时)、闹铃、秒表等功能。整个电路最终经FPGA实验板的下载验证表明设计方案切实可行。本文通过对数字钟层次化设计的详细阐述,旨在使数字系统的学习者掌握基于FPGA的自顶而下的设计思路,又在实例设计中展现出Verilog HDL与C语言编程的不同。  相似文献   
12.
以QuartusII软件为设计平台,采用Verilog HDL语言,运用自上而下的模块化设计思想对数字钟各电路模块进行详细设计,最后通过编译、仿真并下载至FPGA芯片中验证设计的正确性.系统整体设计具有灵活性好、外围电路少、开发周期短等优点,并在传统数字钟的基础上添加了百分秒计时及显示模块,大大增加了数字钟的计时精度.  相似文献   
13.
崔秀敏 《科技信息》2008,(23):48-48
传统数字电路实验采用TTL或CMOS芯片,不能满足现代数字系统设计的要求。而应用VHDL语言的数字电路降低了数字系统的设计难度,因而应用更加广泛。通过简易数字钟的设计流程,介绍了VHDL语言的自项向下、模块化的设计方法。从而说明VHDL语言在数字电路实验中的优点,对数字教学有一定的指导作用,对同学们设计能力的提高有很大的帮助。  相似文献   
14.
公相 《科技信息》2010,(6):386-386,388
文章介绍了采用Inter公司MCS—51系列8051单片机为核心部件以及其它外围电路及相应接口,进行数字钟的设计与实现。  相似文献   
15.
郭霞 《科技资讯》2009,(30):85-86
在数字电路设计中引入先进的EDA技术是数字电路设计发展的方向,本文通过一个数字电路设计的实例,说明了在数字电路设计中采用EDA技术的优越性以及与传统设计方法的区别。  相似文献   
16.
基于EDA技术设计数字系统已成为电子设计领域中的重要方式,本文以数字钟的设计为例介绍Quartusll平台的使用方法,给出了数字钟的部分仿真结果。  相似文献   
17.
伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,经广泛应用于模拟与数字电路系统等许多领域.本设计给出了多功能数字钟自顶向下(TOP)的设计思想和实现方案,采用结构描述风格,依据功能将系统分为五个模块组成:分频模块:控制模块、计数器模块、闹铃模块、显示模块,并用Verilog HDL实现各个功能模块,图形输入法生成顶层模块.最后在EDA工具MAX PLUS II下进行仿真,验证数字钟FPGA设计的正确性和实用性.  相似文献   
18.
张开碧  王浩  曾勇斌 《科技信息》2010,(35):J0012-J0013,J0056
本文主要介绍了数字钟的功能以及相应的硬件电路的设计,并且用C语言编写了相应的程序下载到单片机上进行调试,让其结合硬件电路实现对应的功能:时间显示、日期显示、跑表、闹铃、温度显示和湿度显示。本文着重地介绍了多功能数字钟的硬件制作。  相似文献   
19.
以Lattice半导体公司的ispLSI1032E-70PLCC84为典型器件,ISP Synario System 3.0为编程软件,介绍了利用在系统高密度可编程逻辑器件构成数字钟控系统的基本方法。  相似文献   
20.
针对传统理论与实验相结合的教学模式,指出在《数字电子技术》教学中引入EDA技术进行辅助教学的必要性和可行性.以数字钟电路设计与制作这一项目为例,阐述了在教学中应用EDA技术的过程.通过课程改革,明显提高了教学质量,促进高职教学改革,培养了学生的动手能力和创新能力.  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号