首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
提出一种动态可重构的水下声学调制解调器数字系统, 此系统将调制、解调模块定义为可重构模块, 根据水下声学信道的检测结果, 动态调整其调制解调方式和数据率, 以提供低误码率、低能耗的通信。在Xilinx XUPV5 FPGA开发板上完成数字系统的实现与软硬件协同验证, 结果表明功能正确, 数字系统可以动态配置为2FSK和2PSK模式。与传统FPGA实现方法相比, 动态可重构的设计方法提高了算法设计的灵活性, 节约了数字系统硬件实现的资源。  相似文献   

2.
提出了一种动态可重构信道化方法,解决了宽带信号跨信道问题.给出了动态可重构信道化接收机的设计方案,并对各部分硬件电路的设计进行了详细阐述.在FPGA内部实现了动态可重构信道化高效结构,并给出了该高效结构各组成模块的实现方法,该高效结构可大量节省FPGA的硬件资源.动态可重构信道化方法无需知道信号的调制形式即可实现宽带信号的动态重构,具有较强的通用性.  相似文献   

3.
自适应调制系统要求其解调器能够对多种调制方式进行解调。通过研究发现,采用合理电路结构与算法,可使基于FPGA(field-programmable gate array)的PSK(phase shift keying)数字解调器在仅改变部分电路结构的情况下,对多种PSK调制方式进行解调。对一些现有解调技术进行探讨,并利用这些算法,设计了一种基于FPGA的可实现解调多种PSK调制方式的数字解调器。并通过ISE与ModelSim软件,在Xilinx公司的XC4VLX60芯片上进行了实现。综合和仿真结果表明,解调器工作效果良好,可应用于自适应调制系统中。  相似文献   

4.
2ASK调制与解调在数字通信中占有非常重要的地位。该文采用模拟调制法生成2ASK调制信号,相干解调方式解调输出数字基带信号。在SIMULIMK环境下,选择基带信号模块、信道模块、滤波器模块和示波器模块搭建2ASK通信系统模型,实现对2ASK信号调制与解调的仿真,仿真结果清晰、直观,参数修改简单易行,为通信系统的分析提供了方便。  相似文献   

5.
以软件无线电技术为基础,针对差分四相相移键控(DQPSK)调制解调系统设计了全新的算法,实现了现场可编程门阵列(FPGA)平台下的DQPSK全数字调制解调,并可通过软件编程进行电路升级.与传统DQPSK调制解调电路相比,不但缩减了印制电路板(PCB)的尺寸,而且可以在不改变电路的情况下升级调制解调算法,从而降低了硬件升级、算法调整的成本.以Intel的Quartus II软件作为验证平台,用Verilog HDL语言实现了各个模块功能的设计,采用ModelSim软件进行功能仿真,验证算法的正确性.系统运行频率达到132 MHz,达到了预期要求.  相似文献   

6.
基于FPGA技术设计并实现了2DPSK调制解调器。调制器主要包括码型转换和PSK调制模块的设计,解调采用差分相干解调,所有设计基于VHDL语言编程,整个系统的功能在Max_plusII上调试通过,并在EPFIOK10TC144—4芯片上硬件实现,具有较好的实用性和可靠性。  相似文献   

7.
为了解决随钻测量系统连续波动信息传输问题,设计了一种连续波动信号2DPSK解调方案.分析了随钻测量系统传输特性并建立了数学模型.根据随钻测量系统信息传递特性,对一系列连续信号调制技术进行了分析比较,得出2DPSK信号更加适用于井下连续波动信息的调制.对井下噪声干扰类型与来源进行分析,确定了利用数字滤波器算法实现连续波动信息解调系统的去噪.根据以上分析,提出了MWD的2DPSK解调系统的硬件实现方案,并利用FPGA对连续波动信息实现了解调.解调结果表明,在白噪声信道下,解调系统可以准确恢复出发送端的调制数据,具有一定的适用性.   相似文献   

8.
针对电磁波通信的传统射频识别标签系统有辐射,稳定性差的问题,提出一种采用非均匀限幅光正交频分复用调制(asymmetrically clipped optical-orthogonal frequency division multiplexing,ACO-OFDM)终端为数字标签的可见光通信系统。该系统将ACO-OFDM调制技术与可见光通信系统结合,对终端标签完成读写操作。为了提高可见光通信的稳定性,采用了ACO-OFDM调制提高了可见光信道的带宽利用率并且有效抵抗了信道间干扰,增强了可见光信道的稳定性。按照系统的设计分层,依次对终端标签芯片、调制解调、光发射机、接收机进行介绍。其中,终端标签芯片以及发射机,接收机电路基于UMC18 CMOS工艺流片,调制解调模块基于FPGA设计。仿真结果表明,该可见光射频识别标签系统的数字部分和模拟部分均能正常工作,实现了可见光对终端标签进行读写。  相似文献   

9.
采用了一种用数字上/下变频器GC5016实现超声回波信号的解调和动态滤波处理的方法.使用通常用于调制和解调的芯片GC5016,将超声回波信号的动态滤波处理在信号解调的同时完成,降低了对FPGA乘法器资源的占用.  相似文献   

10.
以C8051F040高性能单片机、AD9850和Altera Cyclone EPIC3T144 FPGA为核心,由控制模块、信号产生模块、放大模块、调制模块、键盘及LCD显示等模块组成的系统.实现了频率范围为20 Hz-20 MHz、步进为10 Hz.电压峰~峰值为6 yopp的正弦波信号输出;用FPGA产生的1 kHz的调制信号控制AD603放大器增益实现模拟幅度调制(AM)信号输出;根据调制信号幅度改变AD9850频率控制字实现模拟频率调制(FM)信号输出;用FPGA实现了2ASK和2PSK数字调制信号输出.  相似文献   

11.
全数字调制解调技术具有多速率、多制式、智能性等特点,这极大的提高了通信系统的灵活性和通用性,符合未来通信技术发展的方向。采用FPGA设计芯片技术对QPSK解调器实现了设计研究,将解调器中原有多种专用芯片的功能集成在一片大规模可编程逻辑器件FPGA芯片上,实现了高度集成化、小型化。实际研究仿真表明,该方案具有突出的灵活性和高效性,为设计者提供了多种可自由选择的设计方法和工具。  相似文献   

12.
根据软件无线电设计思想,在未调用任何现有的IP核或者硬核的基础上,提出一种能在不同FPGA平台上实现的全数字OQPSK调制解调器方案,给出关键模块的实现原理和VHDL源代码.基于Xilinx公司的Virtex-IIPro开发板实现整个系统.测试结果表明,该系统能正确实现OQPSK调制和解调的功能,达到预期目标.  相似文献   

13.
曹姣  周萧 《科技资讯》2011,(18):14-14
本文针对传统的四相移键控(QPSK)的调制解调方式提出一种基于高速硬件描述语言(VHDL)的数字式QPSK调制解调模型。这种新模型便于在目标芯片FPGA/CPLD上实现QPSK调制解调功能。文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。并给出了可编程逻辑器件FPGA的最新一代集成设计环境QuartusⅡ进行系统仿真的仿真结果。  相似文献   

14.
针对数字通信中数字基带信号的调制解调问题,基于Quartus13.1使用硬件描述语言Verilog设计并实现了2FSK调制解调系统;利用分频器得到不同的载波频率,使用伪随机序列产生基带信号,用键控频移法进行信号的调制,过零检测法实现信号的解调得到基带信号;对系统模块化分层设计并且通过了RTL级仿真验证,将各模块顶层例化连接进行系统验证,信号的调制解调正常且无误码产生,并将此2FSK调制解调器应用到实际的数字通信系统中,构建了紫外激光通信系统,经多次测试,系统在光束入射角度为π/4,距离50 m处实现了误码率为10~(-4)、通信速率为600 bps的极低速率通信。  相似文献   

15.
提出了一种微控制器+U盘的FPGA脱机重构方案;采用此方案设计了SDR中一种新型通用的硬件调制平台;配合调制IP核实现了对不同类型基带信号的多种调制以及海量配置数据的脱机重构。微控制器通过扫描键盘来调用U盘内相应的配置数据对FPGA进行在线重构;FPGA根据重构数据对基带信号进行处理并发送到数字正交上变频(DUC)芯片中,实现调制信号的输出,同时把调制信息反馈给微控制器;微控制器把当前调制状态信息发送到LCD进行显示。与传统的调制方法相比:该平台功能强大、操作灵活、调制种类多、软件易升级、支持动态脱机重构,具有较高的工程应用价值。  相似文献   

16.
基于FPGA的FSK调制解调系统设计   总被引:1,自引:0,他引:1  
根据FSK调制和解调的工作原理,提出了一种基于FPGA芯片的FSK调制解调器。该系统采用键控法设计调制器模块,利用过零检测法实现解调器模块。利用VHDL语言在Altera公司的Cyclone系列的EP1C12Q240C6芯片上软件编程,完成了整个系统相应的时序仿真。硬件实验测试表明:FSK解调信号波形和调制信号波形一致,二者之间存在一定的延时,满足系统的设计要求,整个系统具有较高的可靠性和移植性。  相似文献   

17.
设计使用DSP Builder实现了基于现场可编程门阵列(Field-Programmable Gates Array,FPGA)的数字调制器。首先,在Simulink中采用DSP Builder的模块建立直接数字频率合成器(Direct Digital Synthesizer,DDS)子系统模型,根据它分别建立四相相移键控(Quaternary Phase Shift Keying,QPSK)和十六进制正交幅度调制(16-Quadrature Amplitude Modulation,16QAM)系统模型;然后使用Signal Compiler工具生成与其对应的HDL设计文件和TCL脚本;最后使用Quartus Ⅱ和ModelSim共同完成功能和时序仿真。仿真结果表明该设计方法正确有效,可广泛应用于数字调制技术的FPGA实现。  相似文献   

18.
介绍了FSK调制的理论基础,并且提出了一种基于现场可编辑门阵列(FPGA)和直接数字频率合成(DDS)技术的FSK数字调制系统硬件实现方法.总体设计采用了Xilinx FPGA为主控芯片并配合ISE和Model Sim等软件开发工具对整个系统进行设计、仿真和验证.本设计的实现方法简单新颖,系统可靠性高,同时可以将设计模块化,能较方便地移植用于其他基于FPGA的数字通信系统,具有可移植性好、适用性高的优点.  相似文献   

19.
张科  蒲娟 《科技资讯》2014,(11):2-4
文章用数学模型仿真工具MATLAB对通信工程中应用最为广泛的一种调制解调方法AM,进行了以工程实例为背景的仿真分析,采用了工程中常用的采样的方法仿真数字调制解调,对仿真模型的建立,仿真的参数选取进行了分析,最终以仿真图形得出了仿真的结果,验证了通信工程中数字算法实现的可行性,为后期的FPGA或DSP设计打下基础。  相似文献   

20.
通过对QAM调制原理的分析,提出了16QAM系统的FPGA建模方案,给出了仿真波形。结果表明,设计方法正确,方案可行,能够实现数字信号的16QAM调制。同时,其实现的基本方法和基本思想亦为基于FPGA的数字调制系统的设计奠定了较好的基础。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号