首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 359 毫秒
1.
本文在简述任意波形发生器原理的基础上,详细介绍了一种基于USB总线的任意波形发生器的系统构造方案,其中分析了波形数据传输、波形输出硬件模块,以及USB固件的设计方法等,系统实现了低配置、高性能及即插即用等特点.  相似文献   

2.
触发功能、模块识别和精确定时是VXI总线的重要特性,同时也是VXI控制模块的重要组成部分.给出了一种VXI总线控制器模块的MODID电路设计方案,它完全符合VXI总线系统规范.  相似文献   

3.
触发功能、模块识别和精确定时是VXI总线的重要特性,同时也是VXI控制模块的重要组成部分.给出了一种VXI总线控制器模块的MODID电路设计方案,它完全符合VXI总线系统规范.  相似文献   

4.
介绍了VXI总线从模块时序控制器的动作过程及其特点,并基于VHDL硬件描述语言,用异步MEALY状态机的方法进行VXI总线寄存器基接口的时序控制器的设计.  相似文献   

5.
覃天 《科技信息》2011,(21):96-97
通用装备测试系统中VXI总线技术推广应用具有很大的现实意义。本文结合实际工作经验,介绍了基于VXI总线的自动测试系统的架构和开发VXI专用模块功能的一般方法和过程,并对VXI自动测试系统的发展作了展望。  相似文献   

6.
本文针对VXI总线自动测试系统中常用的数字I/O模块展开研究.分析了数字I/O模块的关键技术,提出了相应的技术解决途径,给出了系统硬件和软件设计方案;阐明了整个数字I/O模块的工作流程以及各部分电路所完成的功能,着重介绍了模块与VXI总线的接口部分设计、数据输出时的数据发生功能和数据输入时的数据采集功能的设计.  相似文献   

7.
8.
王之海  张佳  李晔 《应用科技》2009,36(7):66-68
为了使声学释放器水上分机能够产生对水下分机的问询信号,且保证有足够多的波形形式以满足试验所需,研制了一款任意波形发生器.该款波形发生器能够产生2路完全独立的模拟信号.其信号波形形式既可以由计算机通过USB接口配置,也可以利用触摸屏通过I2C总线,从事先固化在板卡上的串行ROM中选择.该款波形发生器可以产生不同脉宽、不同频率的方波信号激励D类功率放大器,以推动水声发射换能器,也可以产生不同填充频率的CW脉冲信号作为水下分机系统中其他单元的自检用信号.  相似文献   

9.
《北京理工大学学报》1999,19(6):TMS320C
目的 研究VME计算机总线扩展的仪器标准总线(VXI)超高速数据采集与DSP系统的设计与实现。方法 采用VXI总线C尺寸双插槽宽模块及I,Q支路双通道设计,通道采样率均为500MHz,系统存储深度为2MB,中央处理器采用高速浮点DSP TMS320C31,时序和逻辑电路由CPLD实现。系统实现采用微带传输线和带状传输线进行高速线迹互连,高频信号线采用端接匹配优化策略,并对整个设计进行了信号完整性分析及软件仿真。结果 给出了实际电路中不同电平高频信号的软件仿真结果。结论 实际测试结果表明,系统工作正常,证明系统原理与硬件设计是成功的。  相似文献   

10.
基于DDS的任意波形发生器实现   总被引:4,自引:0,他引:4  
介绍了直接数字合成硬件系统的基本结构及其工作原理和任意波形发生器的硬件结构,阐述了生成任意波形的微机软件的设计方法。  相似文献   

11.
基于存储扫描方式的任意波形信号发生器卡的设计   总被引:9,自引:0,他引:9  
设计一种基于PC总线的任意波形信号发生器板卡.该板卡采用大容量存储器,自动扫描电路,16 bit高精度D/A转换实现了在不占用CPU资源的情况下输出任意波形.通过对输出波形的理论误差分析,得到了采样点数、扫描频率对波形输出精度影响的规律,并进行了试验,试验结果表明,该信号发生器板卡可以精确地产生任意波形,输出信号的频带宽度为10-5 Hz~200 kHz,输出信号幅值精度2 mV,在200采样点时频率准确度为99.51%.该卡可以应用在虚拟仪器领域.  相似文献   

12.
在数字振荡器产生任意波形的原理的基础上,提出一种利用改进的数字振荡器算法产生高精度任意波形的方案.这种方案基于DSP(digital signal processing)技术,占用系统资源少,波形参数易于调节.最后利用该方法设计一个基于DSP和PC机的波形参数随时可调的正/余弦发生器,并对工作原理和软硬件设计过程进行了详细叙述.  相似文献   

13.
简要阐述了DDS技术的基本原理,介绍了一种由TMS320VC5509A DSP控制器为核心的任意波形发生器。详细叙述了该波形发生器的硬件设计原理及软件设计方法。本设计具有结构简单、性能稳定、生成波形精度高及可扩展性好等优点。  相似文献   

14.
介绍了一种基于USB(Universal Serial Bus)2.0接口芯片ISP1581的任意波形发生器,它能快速,方便地产生所需波形,并且频率也可随意调节。介绍了在FPGA(Field Programmable Gate Array在线可编程逻辑器件)芯片的控制下,USB接口控制模块,FIFO(First In First Out先入先出缓冲器)模块,DSP(Digital Signal Processing数字信号处理)模块等各个模块协同工作的硬件设计,固件设计以及软件设计,并给出了仿真结果。结果显示,任意波形发生器能很好的产生正弦波、三角波、随机波和锯齿波,而且能达到100Mb/s左右的传送速率。  相似文献   

15.
本信号发生器依据直接数字频率合成原理合成信号,采用自行设计复杂可编程逻辑器件的方案实现频率合成,扩展数据存储器存储波形的量化幅值(波形数据),在单片机的控制与协调下输出频率和相位可调的信号波形.该信号发生器可产生任意波形,成本低、体积小、使用方便.  相似文献   

16.
为了对全液压制动系统的动态响应特性及制动压力输出特性进行精确检测,设计了一套由供油、主体、制动器及测控4个模块组成的全液压制动系统性能试验台,为满足充液阀和制动阀高低温试验空间的要求,主体模块的结构布置力求紧凑.另外,基于LabVIEW平台构建了制动系统参数检测与控制模块,并开发了一套制动踏板驱动机构及其反馈控制算法,实现了制动踏板运动过程的编程控制以及相关测试数据的自动化采集处理等功能.实验表明,该试验台可对不同温度和不同工况条件下的制动系统动态响应特性及制动压力输出特性等关键性能进行自动化精确检测.  相似文献   

17.
高分辨率任意波形发生器的实现   总被引:18,自引:0,他引:18  
分析了传统AWG的不足,研究了D/A转换器字宽和数据输出速率对信号失真度的影响,介绍了直接数字频率合成(DSS)的基本原理,运用DDS技术构成了高分辨率的AWG,并详细论述了AWG各组成电路的设计方法,此任意波形发生器(AWG)可以产生任意波形的周期性信号,能灵活控制信号的频率,幅值和相位,并且在很宽的频率范围内快速切换频率,本文采用两级串联的D/A转换器以获得全量程范围内信号具有高分辨率输出,运用DDS技术构建频率信号源,以获得可以连续精确调整的信号频率。  相似文献   

18.
分析并研究了激励源系统的设计原理,提出了基于AD9833的电导率仪激励源的设计方案。系统硬件包括AD9833波形发生器、极性设置模块、三阶Sallen-Key滤波器模块、人机交互界面,系统软件设计基于IAR开发平台,使用C语言模块化编程方法实现。利用本系统测试了3种水溶液样品,并与DDSJ308A电导率仪进行对比实验,实验结果证实了当激励信号频率较低时(<1kHz)会引入较大的测量误差,而较高的频率(>2kHz)有助于测量结果准确性的提高。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号