首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 546 毫秒
1.
介绍三相三电平二极管中点钳位逆变器(NPC)的拓扑结构,分析三电平逆变器的优点.针对逆变器控制特点,利用空间电压矢量控制算法(SVPWM)灵活性的优势,在Simulink工具箱中搭建SVPWM控制算法仿真模型;该算法与异步电机相结合,仿真结果表明,交流测电压正弦波形度良好,扇区判断结果正确,最后给出了系统仿真模型,为实际控制系统设计和调试提供了理论依据.  相似文献   

2.
牵引传动系统是动车组的核心技术,三电平矢量控制的电机逆变器的故障诊断非常重要.本文以CRH2动车组的三电平电机逆变器为背景,建立了三电平空间矢量脉冲调制(SVPWM)逆变器控制系统的Matlab/Simulink模型,并进行了仿真,分析了5种常见故障对三电平逆变器的输出电压、电流、转矩和转速的波形及电机性能的影响,以便为CRH2动车组的三电平逆变器的故障诊断提供了技术参考.  相似文献   

3.
针对纯电动汽车永磁同步电动机(PMSM)传统的直接转矩控制在电动机转速较低时的转矩脉动问题,提出了基于二电平广义逆变器(TLGI)技术的空间电压矢量调制技术(SVPWM)的新型控制策略,论述了将基于TLGI的SVPWM技术运用于PMSM电动机的原理及实现过程,推导了SVPWM的开关状态函数表,研究了SVPWM的电压幅值和电压矢量分区,最后基于新型SVPWM控制方法对一纯电动汽车车用电动机进行了Matlab/Simulink建模和仿真.通过对电动机控制系统的仿真结果表明:该方法可以有效扩大SVPWM线性调制区域,缩短系统调节时间,相比传统的直接转矩控制使用的滞环控制方法,该控制方法更具优越性.  相似文献   

4.
牛一川  孙东 《应用科技》2012,39(1):16-20
介绍三电平逆变器的基本结构并推导了三电平空间矢量脉宽调制(SVPWM)原理,为防止电容中点电压的偏移,采用改进型SVPWM控制方法作为逆变器的控制策略.同时,引入模型参考自适应技术,并在三电平转速、磁链双闭环控制中使用该技术实现了无速度传感器控制.通过仿真结果证明了方法的正确性和可行性.  相似文献   

5.
SVPWM调制方法运用于级联型逆变系统时,由于电压矢量数目过多而导致电压矢量选择困难和复杂计算.为解决这个问题,从三电平逆变器的SVPWM调制入手,将SVPWM调制方法和移相技术结合起来分析,并采用数字信号处理器DSP和现场可编程逻辑器件FPGA,实现了对级联多电平逆变系统基于移相式SVPWM的矢量控制,仿真和实验验证都证明了该方法的正确性、可行性和易扩展性.  相似文献   

6.
论述了空间电压矢量调制(SVPWM)控制二极管钳位式三电平逆变器的原理与实现方法.提出了确定参考矢量的三个规则,并推导出工作矢量作用时间、输出顺序及描述了中点电位的控制规则.通过采用Matlab仿真,结果证明SVPWM控制三电平逆变器的可行性.  相似文献   

7.
介绍了泛布尔代数和三电平逆变器的系统组成;阐述了三电平空间矢量脉宽调制(SVPWM)的原理,将泛布尔代数应用于SVPWM算法中,利用泛布尔代数将其化简并转化为逻辑表达式,简化了分析难度,并为进一步的仿真研究和实际电路设计提供了逻辑基础;给出了具体的算法步骤,并对所提出方案进行仿真研究,仿真曲线正确.  相似文献   

8.
SVPWM控制三电平逆变器算法研究   总被引:5,自引:0,他引:5  
田玉超  刘勇  丛望 《应用科技》2005,32(3):34-36
三电平逆变器以其优越的性能已经逐步取代传统的两电平逆变器,成为了大容量、中高压电机调速的主要实现方式之一.但由于其输出状态多,对控制算法也提出了更高的要求.在介绍了三电平逆变器的基本结构之后,分析了空间矢量脉宽调制(SVPWM)控制三电平逆变器的算法原理,最后给出了用MATLAB仿真的流程图和仿真结果,证明了三电平逆变器的优点,对其应用和开发具有借鉴作用.  相似文献   

9.
SVPWM算法控制三电平逆变器仿真   总被引:7,自引:0,他引:7  
田玉超  刘勇  丛望 《应用科技》2005,32(2):37-39
三电平逆变器是目前电力电子与电力传动学科研究的热点之一,但其控制算法也要比传统的两电平逆变器复杂得多,一般采用便于数字实现的空间矢量脉宽调制(SVPWM)的方法来控制.介绍了SVPWM算法控制三电平逆变器的实现方法和具体步骤,最后给出了用MATLAB/Simulink仿真的结果,表明了三电平逆变器的优点,同时对于深入理解算法原理和控制过程也具有一定的参考价值.  相似文献   

10.
三电平逆变器的点电压平衡控制   总被引:1,自引:0,他引:1  
为解决电压型逆变器中点电压不平衡问题,分析了中点钳位式(NPC)三电平逆变器的基本原理,介绍了三电平逆变器的SVPWM算法.根据正负小矢量对中点电位的影响提出了两种平衡中点电位的控制策略,并通过设定电压误差滞环把两者结合起来.仿真结果表明,该方法能有效约束三电平逆变器的中点电压.  相似文献   

11.
MATLAB/SIMULINK永磁同步电机矢量控制系统仿真   总被引:1,自引:0,他引:1  
永磁同步电机矢量控制系统在工业控制、医疗等众多领域具有广泛的应用前景。基于MATLAB/SIMULINK环境,采用模块式的结构,分别对PI(Proportion Integration)调节、速度环调节、dq/αβ变换、SVPWM (Space Vector Pulse Width Module)波产生、主回路和整个系统模型进行了仿真研究。采用Scope空间对定子电流、转子转角和转子转速、以及转矩进行观察,及时调整系统模型参数,使系统性能达到最佳化,实现了永磁同步电机矢量控制和正反转调速。结果表明,该系统具有启动快、过载能力强和调速特性好等特点,为永磁同步电机矢量控制系统设计与实现提供有效方法,可明显缩短开发周期,在实现永磁同步电机高精度的控制和节能控制方面具有实际意义。  相似文献   

12.
周奖  陆翔  权运良 《科学技术与工程》2013,13(15):4159-4164
分析了VIENNA型三相三电平PWM整流器的拓扑及其数学模型,以及三电平变换器的状态空间矢量调制技术(SVPWM)。提出了基于PI控制的双闭环的控制策略(即电压外环、电流内环),并引入中点电位因子r对中点电压进行平衡控制。为了验证所提控制策略的可行性,搭建了VIENNA型三相三电平PWM整流器的仿真平台以及1.6 kW的实验样机进行仿真与实验研究。仿真及实验结果表明,所提出的调制方法及控制策略是可行的。该整流器具有控制简单、易于数字化实现、在稳态条件下谐波畸变率小于3%、功率因数接近1等特点。  相似文献   

13.
在分析永磁同步电动机数学模型的基础上提出了基于空间电压矢量脉宽调制(SVPWM)的永磁同步电动机直接转矩控制系统,同时采用Matlab/Simulink建立了系统的仿真模型,并给出了仿真结果.仿真结果说明,该系统具有对电动机定子磁链的观测精度高、超调小和响应快的特点.  相似文献   

14.
丛望  张兵 《应用科技》2009,36(7):54-57
介绍了三电平逆变器电压空间矢量脉宽调制(SVPWM)控制的原理.使用ARM(advanced RISC machine)控制芯片和FPGA(field programmable gate array)芯片搭建硬件平台,进行算法设计,产生所需的PWM波形,通过驱动电路驱动功率器件IGBT,实现三电平逆变器.最后给出实验结果,验证了该方法的可行性.  相似文献   

15.
针对三电平逆变器控制策略复杂以及存在中点电位不平衡的问题,对其电压空间矢量脉宽调制(SVPWM)原理进行了研究。采用了一种新的方法———首发矢量为正小矢量的SVPWM算法,将所有扇区都变换到第一扇区,简化了控制算法,给出了第一扇区各个小区间的判断规则,推导了合成参考电压矢量的各个基本电压矢量的作用时间,并介绍了SVPWM信号的产生方法。采取了一种新的控制策略———改变小矢量作用时间的方法解决了中点电位不平衡问题。此外,对系统进行了MATLAB仿真,证实了采取SVPWM算法的有效性和控制策略的优越性。  相似文献   

16.
相永磁同步电机(permanent magnet synchronous motor, PMSM)发生相邻两相开路故障会导致驱动系统不稳定运行,针对这种故障状况,提出一种基于空间矢量脉宽调制(space vector pulse width modulation, SVPWM)技术的容错控制策略。首先构建永磁同步电机数学模型;进而为使机电能量实现平稳转换,重新构建降阶变换矩阵,得到故障后剩余相容错电流表达式;然后利用SVPWM技术,计算故障下空间电压矢量,建立6个扇区,进行目标矢量合成,进而计算相应基本合成电压矢量的作用时间,并给出对应扇区的空间电压矢量选择顺序;最后进行Matlab/Simulink仿真验证,仿真结果与理论计算一致,有效验证了所提SVPWM容错控制策略的正确性。利用该容错控制策略,五相永磁同步电机在故障状态下的运行性能得到明显改善,电机实现稳定运行。所提控制策略与传统电流滞环跟踪脉宽调制(pulse width modulation, PWM)控制方式对比分析,证明所提策略存在优越性。  相似文献   

17.
空间矢量脉宽调制(SVPWM)技术可以提高电压型逆变器的电压利用率和电动机的动态响应性能,还可以减小电动机的转矩脉动。所以在电机的矢量控制系统中得到了广泛的应用。结合FPGA数据处理速度高的特点,以VHDL硬件语言来编写SVPWM,通过QUARTUSII自带仿真软件进行仿真。结果表明:该方法可以有效地控制逆变器桥臂开关。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号