首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于FPGA控制的开关电源的仿真与设计
引用本文:刘必旺.基于FPGA控制的开关电源的仿真与设计[J].科学技术与工程,2012,12(31):8281-8286.
作者姓名:刘必旺
作者单位:常州信息职业技术学院电子与电气工程学院,常州,213164
摘    要:传统的开关电源数字设计方案大多基于单片机、DSP控制,但在实现PID控制算法的过程中,使用软件设计常会带来程序跑飞的严重后果。FPGA的高速和硬件支持,使得实施PID等控制比软件模拟出来的效果更为优秀,还能克服程序跑飞。设计采用MATLAB-SIMULINK,首先进行软件仿真、分析并测试其可行性,然后利用ALTERA公司的DSP builder将仿真模块生成FPGA硬件描述语言,实现PWM波和PID的设计。在控制方面,定制的NIOS软核起到了MCU的作用。利用VHDL语言实现ADC0809状态机控制。最后设计出各个功能模块的电路以及整个系统图和相关的NIOS控制程序流程图。设计的以EP2C35F672C8为控制核心的开关电源系统,利用软件仿真模型生成硬件代码语言,在FPGA中实现,双重保证了设计的可行性,实现了较理想的闭环控制效果。

关 键 词:开关电源  PID控制  PWM波  FPGA  MATLAB
收稿时间:2012/6/25 0:00:00
修稿时间:7/7/2012 5:14:50 PM

Simulation and Design of High Power Switching Supply Based on FPGA
Liu-biwang.Simulation and Design of High Power Switching Supply Based on FPGA[J].Science Technology and Engineering,2012,12(31):8281-8286.
Authors:Liu-biwang
Institution:(Electronic and Electrical Engineering College,Changzhou Vocational College of Information Technology,Changzhou 213164,P.R.China)
Abstract:
Keywords:switching power supply  PID control  PWM wave  FPGA  MATLAB
本文献已被 CNKI 万方数据 等数据库收录!
点击此处可从《科学技术与工程》浏览原始摘要信息
点击此处可从《科学技术与工程》下载免费的PDF全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号