首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于LVDS总线的数字信号源设计与实现
引用本文:侯利民,苏淑靖.基于LVDS总线的数字信号源设计与实现[J].科学技术与工程,2013,13(32).
作者姓名:侯利民  苏淑靖
作者单位:中北大学,中北大学
基金项目:国家自然科学基金项目(面上项目,重点项目,重大项目)
摘    要:针对如何实现高速数据传输的问题,设计了基于LVDS总线的数字信号源。LVDS是一种高速传输技术,利用低压差分信号进行数据传输,具有低功耗、低误码率、低串扰和低辐射的特点。设计以FPGA作为控制核心,并以DS92LV18实现LVDS信号的传输。试验表明采用LVDS技术作为功能卡之间的数据传输方式,可以很好的实现卡之间的高速数据传输。该信号源可实现输出PCM数字信号,输出的信号由上位机软件设定。

关 键 词:LVDS  信号源  FPGA  数据传输  PCM
收稿时间:2013/6/27 0:00:00
修稿时间:2013/6/27 0:00:00

Design Of The Digital Signal Source Based on LVDS
hou li min and sushujing.Design Of The Digital Signal Source Based on LVDS[J].Science Technology and Engineering,2013,13(32).
Authors:hou li min and sushujing
Abstract:Aiming at the problem how to achieve high-speed data transmission , a digital signal source based on LVDS bus is designed. LVDS is a high-speed transmission technology that uses low-voltage differential signal for data transmission with characteristics of low power consumption, low error rate, low crosstalk and low radiation. The design uses FPGA as the control core, and DS92LV18 to achieve LVDS signal transmission. Experimental results shows that using LVDS technology as way of the data transmission between the function cards, the high-speed data transmission between the cards can achieve well. The signal source can output PCM digital signals ,and the code rate of signals can be set through the PC software by the users .
Keywords:LVDS  Signal source  FPGA  Data transmission  PCM
点击此处可从《科学技术与工程》浏览原始摘要信息
点击此处可从《科学技术与工程》下载免费的PDF全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号