首页 | 本学科首页   官方微博 | 高级检索  
     

寄存器堆设计方法研究
作者姓名:方卓红
作者单位:青岛科技大学信息科学技术学院,山东青岛266061
基金项目:本文由青岛市科技计划项目《可移动高性能电脑加密机的研究与设计》提供支持.
摘    要:本文利用Verilog硬件描述语言设计了一个寄存器堆,并对其进行了仿真测试。该寄存器堆包含32个32位的寄存器,具有2个读端口和1个写端口.仿真结果表明该寄存器堆的功能是正确的。

关 键 词:集成电路设计  寄存器堆  Verilog硬件描述语言  仿真
本文献已被 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号