首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于FPGA的最小移频键控调制器的设计与实现
引用本文:杨守良.基于FPGA的最小移频键控调制器的设计与实现[J].重庆文理学院学报(自然科学版),2009,28(1).
作者姓名:杨守良
作者单位:重庆文理学院,电子电气工程学院,重庆,永川,402160
摘    要:对最小移频键控(MSK)调制系统的原理进行了分析, 提出了一种基于DDS设计MSK调制器的方法, 设计了基于FPGA的MSK调制器,并在QuartusⅡ下进行了时序仿真,将设计的调制器下载到硬件进行了测试.实践表明,该调制器具有最小功率谱占用率和相位连续的特点,具有良好的频谱特性,能在给定的频带内传送很高的比特速率.

关 键 词:直接频率合成  最小移频键控  现场可编程门阵列  数字调制

The design and realization of minimum frequency shift keying modulation system based on FPGA
YANG Shou-liang.The design and realization of minimum frequency shift keying modulation system based on FPGA[J].Journal of Chongqing University of Arts and Sciences,2009,28(1).
Authors:YANG Shou-liang
Abstract:
Keywords:
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号