首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于VHDL语言的IP核验证
引用本文:张真真.基于VHDL语言的IP核验证[J].科技信息,2007(17).
作者姓名:张真真
作者单位:山东胜利股份有限公司物业管理部 山东济南250011
摘    要:1.引言在IC(integrated circuit集成电路)发展到超大规模阶段的今天,基于IP(Intellectual Property,知识产权)核的IC设计及其再利用是保证SoC(system onchip,片上系统)开发效率和质量的重要手段。如果能对IP核进行验证、测试和集成,就可以加速SoC的设计,而这需要从以下5个方面进行考虑。

本文献已被 CNKI 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号