首页 | 本学科首页   官方微博 | 高级检索  
     

应用计数器设计状态机的一种新方法
作者姓名:孙蕾
摘    要:状态机是数字系统中重要的组成部分,其设计经常采用模块化形式进行,常因设计所用模块数较多而使设计过程繁杂。通过置数法完成保持功能,可以达到过程简单又减少设计模块数,节省资源的目的。

关 键 词:计数器 数字系统 状态机 模块法 设计 置数控制电路 置数网络 状态图
本文献已被 CNKI 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号