首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于FPGA的序列检测器设计
引用本文:刘斌,孙志雄.基于FPGA的序列检测器设计[J].科技信息,2013(6):306-306.
作者姓名:刘斌  孙志雄
作者单位:琼州学院电子信息工程学院
摘    要:序列检测器是一种能够检测输入的一串二进制代码的电路,当该二进制代码与事先设定的码组一致时,检测电路输出高电平,否则输出低电平。序列检测器多用于通信系统中对同步码的检测,或者是对所需信号的提取,这在数字通信领域中有着广泛的运用。本文基于VHDL进行序列检测器的设计,所设计的序列检测器所检测的序列为"11101011"的8位二进制序列,并基于QuartusⅡ进行软件仿真并下载到FPGA实现,经检测输出结果符合设计要求。

关 键 词:序列检测器  QuartusⅡ  VHDL  FPGA
本文献已被 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号