首页 | 本学科首页   官方微博 | 高级检索  
     

一种有效降低扫描结构测试功耗的方法
引用本文:张红南,王松,徐君,李向库,张志伟. 一种有效降低扫描结构测试功耗的方法[J]. 湖南大学学报(自然科学版), 2009, 36(12)
作者姓名:张红南  王松  徐君  李向库  张志伟
作者单位:1. 湖南大学,物理与微电子科学学院,湖南,长沙,410082
2. 湖南大学,物理与微电子科学学院,湖南,长沙,410082;中国科学院,计算技术研究所,北京,100190
3. 中国科学院,计算技术研究所,北京,100190
基金项目:湖南省自然科学基金资助项目 
摘    要:提出了一种有效降低扫描测试功耗的设计方案.通过增加逻辑门结构来控制测试向量移入阶段扫描链上触发器翻转向组合逻辑电路的传播.同时,设计了时序优化算法以保持电路其他性能不发生大的改变.实验结果显示:通过采用ISCAS89基准测试程序进行分析,优化前无用动态功耗值约占总功耗的19.84%,优化后整体测试功耗降低约23%,有效地降低了无用动态功耗,并且此方案容易在已有的设计流程里实现.

关 键 词:扫描测试  测试向量  组合逻辑电路  动态功耗

An Effective Method for Depressing Scan-based Test Power
ZHANG Hong-nan,WANG Song,XU Jun,LI Xiang-ku,Zhang Zhi-wei. An Effective Method for Depressing Scan-based Test Power[J]. Journal of Hunan University(Naturnal Science), 2009, 36(12)
Authors:ZHANG Hong-nan  WANG Song  XU Jun  LI Xiang-ku  Zhang Zhi-wei
Abstract:The scan-based testing method is often used to solve the problems of testing sequential logic, and its application is limited for the high-power operation characteristics. This paper proposed an effective scheme to reduce the scan-based test power to prevent the transitions of scan chains from reflecting into the combinational logic circuit lines by adding some logic gates. Then, we introduced an optimizational arithmetic ensuring other performances of the chip. The experiment results of ISCAS89 benchmark have shown that the useless dynamic power consumption accounts for about 19.84% of the total power consumption, while the overall test power consumption has been reduced by approximately 23% after optimization. This mechanism effectively reduces the unwanted dynamics power consumption, and it is easier to implement by means of the existing methods.
Keywords:scan-based  sequential-test  shift process  test power
本文献已被 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号