首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于CPLD的SCI串行接口芯片设计
引用本文:王冬.基于CPLD的SCI串行接口芯片设计[J].科技信息,2009(34):94-95.
作者姓名:王冬
作者单位:景德镇陶瓷学院机电学院
摘    要:笔者利用CPLD芯片的大容量、可编程特性,设计了SCI串行接口芯片,以满足单片机与PC机串行接口的要求。着重介绍了该接口芯片的结构原理、硬件构成及接口芯片的VHDL程序设计过程,并通过CPLD的开发平台MAX+PLUXⅡ仿真,实验证明了设计的正确性。

关 键 词:SCI串行接口  CPLD  VHDL
本文献已被 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号