首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于CPLD的LED显示屏控制系统的设计
引用本文:刘冬香.基于CPLD的LED显示屏控制系统的设计[J].科技资讯,2009(32):19-20.
作者姓名:刘冬香
作者单位:广东工业大学,广州,510006;广州铁路职业技术学院,广州,510430
摘    要:文章主要阐述以单片机+CPLD的方案进行的LED大屏幕显示控制系统的设计。以单片机作为系统的数据通信控制和扩展其它功能,CPLD作为显示屏正常动态显示的硬件控制,采用模块化的设计,使结构简单,修改功能方便,同时充分利用了单片机实现多任务的特点,便于功能扩展,硬件电路简单。

关 键 词:LED显示器  CPLD  单片机控制
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号