首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   6篇
  免费   0篇
综合类   6篇
  2014年   2篇
  2013年   1篇
  2011年   2篇
  2010年   1篇
排序方式: 共有6条查询结果,搜索用时 15 毫秒
1
1.
孙志雄  谢海霞 《科技信息》2010,(36):I0015-I0015
随着EDA技术的发展,其先进的设计思想及设计理念、方便灵活的VHDL编程及FPGA实现,为其它课程的实验教学研究提供了较好的辅助平台。本文根据通信原理实验教学的现状,提出了基于EDA技术的通信原理实验实施方案,体现了传统实验方法结合计算机仿真技术的优点,在实验教学中效果明显提高。  相似文献   
2.
孙志雄  林雄 《科技信息》2011,(10):I0025-I0025
本文介绍了MATLAB语言和控制系统工具箱(Control System Toolbox)以及如何在SIMULINK环境下构造控制系统的仿真模型,并通过实例介绍了控制系统仿真的过程。  相似文献   
3.
4.
本文使用VHDL语言在QuartusⅡ集成开发环境中设计了自动售货机,实现了商品选择、钱币投掷、找零退币、状态显示等功能,并将编程结果下载到FPGA芯片上实现完整的购买流程。  相似文献   
5.
孙志雄  林雄 《科技信息》2011,(20):I0019-I0019,I0023
本文介绍了单级倒立摆系统及其物理模型、数学模型,分析了单级倒立摆系统的控制方法,并使用MATLAB仿真软件对单级倒立摆系统的控制性能进行了仿真分析。  相似文献   
6.
刘斌  孙志雄 《科技信息》2013,(6):306-306
序列检测器是一种能够检测输入的一串二进制代码的电路,当该二进制代码与事先设定的码组一致时,检测电路输出高电平,否则输出低电平。序列检测器多用于通信系统中对同步码的检测,或者是对所需信号的提取,这在数字通信领域中有着广泛的运用。本文基于VHDL进行序列检测器的设计,所设计的序列检测器所检测的序列为"11101011"的8位二进制序列,并基于QuartusⅡ进行软件仿真并下载到FPGA实现,经检测输出结果符合设计要求。  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号