首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   1篇
  免费   0篇
综合类   1篇
  2007年   1篇
排序方式: 共有1条查询结果,搜索用时 46 毫秒
1
1.
用FPGA实现色空间RGB到YCbCr的转换   总被引:1,自引:0,他引:1  
在视频设计中,通常要实现色空间RGB到YCbCr的转换,该转换是对后级信号进行处理的基础。本文介绍一种RGB色空间到YCbCr色空间转换的电路设计。首先,给出色空间的定义以及色空间RGB到YCbCr的转换方程组。根据这些方程组,提出设计中的几个关键问题,并用硬件描述语言VHDL在FPGA器件上实现。  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号