首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   265篇
  免费   2篇
  国内免费   2篇
系统科学   5篇
丛书文集   15篇
教育与普及   2篇
综合类   247篇
  2021年   1篇
  2017年   1篇
  2015年   1篇
  2014年   3篇
  2013年   7篇
  2012年   12篇
  2011年   24篇
  2010年   22篇
  2009年   12篇
  2008年   22篇
  2007年   19篇
  2006年   32篇
  2005年   25篇
  2004年   21篇
  2003年   23篇
  2002年   19篇
  2001年   16篇
  2000年   5篇
  1999年   1篇
  1998年   3篇
排序方式: 共有269条查询结果,搜索用时 171 毫秒
21.
基于VHDL逻辑电路设计与应用   总被引:2,自引:0,他引:2  
随着集成电路技术的高速发展,VHDL已成为设计数字硬件时常用的一种重要手段。介绍EDA技术及VHDL语言特点,以串行加法器为例,分析串行加法器的工作原理,提出了一种基于VHDL语言的加法器设计思路,给出串行加法器VHDL源代码,并在MAX PLUSII软件上进行仿真通过。  相似文献   
22.
关于建设开放性实验室的探讨   总被引:24,自引:1,他引:24  
在对传统实验室的实验内容、实验手段和实验方法进行研究的基础上,从培养学生的自主学习能力、工程设计能力、创新开发能力的目标出发,提出了引入新型实验设备、先进实验技术和实验手段,建设开放性实验室的改革构想。  相似文献   
23.
MAX+plusII是美国Altera公司设计的一种EDA软件,用于开发CPLD/FPGA进行数字系统的设计.文章以一个实际电路设计为例,简要阐述了MAX+plusII完成设计的过程.  相似文献   
24.
结合交通灯控制器的设计过程,介绍了硬件描述语言VHDL的结构模型和设计方法,说明了VHDL设计的优点及在数字系统设计中的重要地位和作用。  相似文献   
25.
《电子技术》是一门实践性很强的专业基础课,其实验教学是一个重要的环节.在分析传统实验教学存在的问题及不足,介绍电子设计自动化(EDA)技术的特点的基础上,从培养学生能力出发,提出了将传统的实验教学方法与新型的计算机仿真教学相互结合的开放性的实验教学新模式。  相似文献   
26.
蒋海涛 《科技信息》2007,(10):179-180
本文介绍了VHDL语言及其基本特点和VHDL语言在数字频率计中的具体应用,说明了用VHDL语言设计数字系统的方法,并给出了仿真波形图。  相似文献   
27.
数字通信过程中,基带信道对传输信号的码型有严格的限制。曼彻斯特码(BPH码)、密勒码(MILLER码)、传号反转码(CMI码)是适合基带信道传输的二元码型,在通信工程中被广泛使用。采用传统分立元件设计的专用编码器体积较大,一般只适合某种具体码型的编码,并且在传输速率和每帧有效数据的位数等方面有严格限制,用户不能修改编码器。文章利用EDA设计方法,实现了在一个CPLD芯片上集成BPH码、MILLER码、CMI码三种基带传输码型的编码器,弥补了专用编码器的不足。  相似文献   
28.
EDA技术是在先进的计算机工作平台上开发出来的一整套电子系统设计的软硬件工具,并提供了先进的电子系统设计方法,开发人员可以通过自己的电子系统设计来定制其芯片内部的电路功能,使之成为设计者自己的专用集成电路。介绍一种基于EDA技术,用ASM图法设计的交通灯控制器。  相似文献   
29.
本文阐述了高职电子类学生生源、就业等方面所存在的问题;根据其存在的问题以及国家关于职业教育提出的方针,提出了电子电路课程基于EDA技术的改革方案以及实施办法。  相似文献   
30.
高伟  葛胜升  施吕蓉 《科技信息》2012,(33):510-511
随着科学技术的迅猛发展,高职院校的数字电路课程教学内容已经与现实技术严重脱节,并且培养出来的学生已经无法满足企业对应用型人才的需要。因此数学电路课程的改革势在必行。文章分析了目前数字电路课程教学所存在的问题,然后提出了改革的方向和方法,重点提出了要加强实验实训的教学,从而提高学生的实际动手能力,满足企业的需求。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号