首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
采用CPLD器件EPM 7128SLC 84-15实现直接数字频率合成器控制模块的设计,是一种新型的频率合成技术.模块中的相位累加器,使系统具有较高的频率分辨率,可实现快速频率切换,很容易实现频率、相位和幅度的数控调制,有广泛的应用价值.  相似文献   

2.
文章根据DDS基本原理及结构,为了提高芯片运算速度,加大输出带宽,减小芯片规模从而提高可靠性和频谱纯度等,对DDS采用了优化设计。首先对相位累加器采用了流水线结构,加快了系统的运行速度;然后对波形ROM采用压缩内存的方法,节省了ROM的存储单元。从而降低了成本和能耗,最后给出了基于matlab仿真语言的波形仿真,并对合成波形进行了噪声分析。经过设计和测试,可输出波形的范围在13.9MHz以内,证明了基于FPGA的DDS设计的可靠性和可行性。  相似文献   

3.
王静 《科技信息》2012,(23):113-114
本文利用FPGA器件实现了DDS系统中的关键部分DDS核,所设计的DDS核,由相位累加器和波形数据表组成,可以实现产生任意波形。FPGA器件作为系统控制的核心,其灵活的现场可更改性,可再配置能力,对系统的各种改进非常方便,在不更改硬件电路的基础上进一步提高系统的性能。  相似文献   

4.
本文详细介绍了直接数字式频率合成器的工作原理、组成框图和它的主要性能,并介绍了有关集成电路芯片。  相似文献   

5.
直接数值频率合成(DDS)是把一系列数字量形式的信号通过D/A转换器转换成模拟量形式的信号合成技术,在通信领域,DDS因具有频率转换时间短、分辨率高、输出相位连续、相位噪声小等优点得到良好的应用。本文从工程应用的角度给出了DDS的verilog HDL设计,重点给出了波形选择、幅值、频率、相位及DAC TLC5615驱动输出等几部分的设计。  相似文献   

6.
基于FPGA器件EP1K30QC208芯片,采用VHDL设计实现了一个相位、频率均可控制的数字频率合成器,并在ZY11EDA13BE试验系统中完成硬件测试。经实验验证,输出波形达到了技术要求,性能良好,控制方便,证明了基于FPGA的DDS设计的可靠性和可行性。  相似文献   

7.
张博  张斌 《应用科技》2015,(1):28-32
直接数字频率合成器(direct digital synthesizers,DDS)是通信、雷达、仪器仪表、空间电子设备和电视等现代电子系统的心脏,其好坏直接影响电子系统的性能指标。提出了MATLAB与FPGA交互的方法,在FPGA设计与实现之前进行了电路的MATLAB仿真,用于验证整个FPGA电路的工作过程及输出结果是否满足要求;最后,在硬件上进行了实现,性能测试验证了设计的正确性和可行性。  相似文献   

8.
DDS技术的FPGA设计与实现   总被引:1,自引:0,他引:1  
介绍了用Altera的FPGA器件(EPFl0K10)来实现DDS专用芯片的功能,详细讨论了DDS技术的FPGA的设计和实现.  相似文献   

9.
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计实现了一个频率、相位可调的正弦信号发生器,同时阐述了频率合成技术及直接数字频率合成(Direct Digital Frequency Synthesis ,简称:DDS)技术的原理、电路结构,及设计思路和实现方法,最后简要探讨了抑制DDS杂散和噪声的方法.经过设计和电路测试,输出波形达到了技术要求,控制灵活、性能也好,也证明了基于FPGA的DDS设计的可靠性和可行性.  相似文献   

10.
为了实现幅值和频率在一定范围连续可调,频率步进达到1Hz以下信号发生器的设计.采用直接数字频率合成技术(DDS),介绍根据直接数字频率合成技术组成及原理,给出了基于可编程逻辑器件FPGA及相应EDA软件QuartusⅡ实现DDS的具体设计方案及编程实现方法.通过改变设计参数可以调节所产生波形频率和幅度;通过改变ROM查找表中波形数据可以产生任意波形.利用FPGA器件设计DDS,大大简化了电路设计过程,缩短了调试时间,并为修改、添加DDS的功能提供了方便.  相似文献   

11.
基于FPGA的直接数字频率合成器(DDS)的设计   总被引:1,自引:0,他引:1  
随着数字技术和器件水平的提高,一种新的频率合成技术——直接数字频率合成(Direct Digital Frequency Synthesis(简称DDS或DDFS)得到了飞速的发展。本文所设计的正弦信号发生器电路是采用现场可编程门阵列(FPGA)实现的一个数字频率合成器,其主要是由相位累加器、加法器、波形存储器及滤波器等组成。本课题所设计出的DDS具有变频范围广,频率步进小、幅度和频率精度高,频率和相位可调等特点,而且其最后输出的正弦信号频率高,可以达到100多MHz。  相似文献   

12.
直接数字频率合成(Direct Digital Frequency Synthesize,简称DDs)技术具有频率分辨率高、变频速度快、变频相位连续、相位噪声低等很多优点,因而被广泛用于雷达、通信等领域。本文在分析DDS原理的基础上,提出了基于FPGA设计DDS信号发生器的方案。并经过电路设计和模块仿真,验证了设计的正确性。由于FPGA的可编程性,使得设置和优化DDS的功能非常快捷和方便,具有很大的灵活性。  相似文献   

13.
李萍  王裕如  潘亮 《科技信息》2009,(35):27-28
本设计利用FPGA芯片实现直接频率合成器(简称DDS)系统电路的核心部分,采用VHDL硬件描述语言完成对DDS核心电路中各个模块的设计,并设计了与DDS系统相对应的外围硬件电路。这样设计的合成器能够利用8MHz的参考时钟信号合成出频率在O~500KHz的正弦波和余弦波。由于FPGA芯片具有现场可编程的特性,所设计的DDS能够根据不同的要求进行灵活改进,同时具有高集成度、运算速度快、低功耗的特点。  相似文献   

14.
直接数字频率合成器频谱分析   总被引:4,自引:1,他引:4  
通过严格的数学推导,得到DDS输出信号的时域表达式和频谱表达式。不论DDS系统是否有相位截断,这些表达式均成产,为精确计算DDS的杂散抑制度提供了方便。  相似文献   

15.
孙敦艳 《科技信息》2011,(16):113-113
直接数字频率合成器(DDS)具有较高的频率分辨率,可以实现快速频率切换,在频率改变时能保持相位连续,很容易实现频率、相位和幅度的数控调制。  相似文献   

16.
介绍了利用现场可编程逻辑门阵列(FPGA)实现直接数字频率合成信号发生器(DDS)的原理,重点介绍了DDS技术在FPGA中的实现方法以及数控振荡器(NCD)的ROM查找表设计和相位累加器设计,给出了采用FPGA芯片进行直接数字频率合成信号发生器的仿真结果以及系统顶层设计原理图.  相似文献   

17.
钟文峰  胡永忠 《科技信息》2010,(23):111-112
本文介绍了直接数字频率合成器(DDS)的原理,并通过现场可编程门阵列FPGA以查找表的方式予以实现24位DDS的方案。相对于传统的专用的数字频率合成器芯片,用高性能的FPGA器件设计符合自己需要的数字频率合成电路具有方便的控制方式和快速的置频速率等等诸多优点。  相似文献   

18.
蒋志勇 《科技信息》2012,(1):227-228
信号发生器作为电子技术领域中最基本的电子仪器,广泛应用于各个领域中。随着电子信息技术的发展,对其性能的要求也越来越高,如要求频率稳定性高、转换速度快,具有调幅、调频、调相等功能,另外还经常需要两路正弦信号不仅具有相同的频率,同时要有确定的相位差。因此基于FPGA的DDS波形发生器,具有一定的实际意义。以FPGA芯片EP2C8Q208C8为核心,辅以必要的模拟电路,在Verilog编写的程序控制下,构成了一个基于直接数字频率合成技术的波形发生器。  相似文献   

19.
直接数字频率合成(DDS)采用的是一种新的频率合成技术,它具有频率分辨率高、频率转换快和相位噪声小等一系列的优点。本文主要介绍了DDS的基本原理和性能特点,提出了实现数字频率合成技术的两种设计方案。  相似文献   

20.
介绍了直接数字式频率合成技术的基本原理及特点,并给出了一个5MHz直接数字式频率合成器的设计方案.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号