首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
介绍了利用现场可编程逻辑门阵列(FPGA)实现直接数字频率合成信号发生器(DDS)的原理,重点介绍了DDS技术在FPGA中的实现方法以及数控振荡器(NCD)的ROM查找表设计和相位累加器设计,给出了采用FPGA芯片进行直接数字频率合成信号发生器的仿真结果以及系统顶层设计原理图.  相似文献   

2.
结合低电压电泳芯片的电压控制,提出了一种基于FPGA的4路信号发生器的设计方案.介绍了直接频率合成技术(DDS)的基本工作原理,利用IP核设计4路信号发生器的基本流程.实验结果表明:该信号发生器可通过调整波形控制字、频率控制字、相位控制字,控制输出不同波形、不同频率、不同相位的4路信号,且信号波形能满足低电压电泳芯片移动电场控制需要.  相似文献   

3.
基于FPGA的DDS信号源设计与实现   总被引:9,自引:0,他引:9  
利用DDS和FPGA技术设计一种信号发生器.介绍了该信号发生器的工作原理、设计思路及实现方法.在FPGA器件上实现了基于DDS技术的信号源,并可通过键盘控制其输出波形的各种参数,频率可控范围为100 Hz~10 MHz,频率调节步进为100 Hz,频率转换时间为25 ns.  相似文献   

4.
随着可编程逻辑器件的不断发展,利用DDS技术原理在FPGA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,具有成本低、在线更新、硬件开发软件化、操作灵活等优点.本文介绍了一种基于FPGA的DDS函数信号发生器设计,实现了输出100Hz~1MHz的正弦波、方波、三角波,频率设置等功能,具有一定的实用价值.  相似文献   

5.
利用直接数字频率合成(Direct Digital Synthesis,DDS)技术,以现场可编程门阵列(Fieldprogrammable Gate Array,FPGA)芯片为载体,设计了一个信号发生器.该信号发生器能够产生频率、相位和幅度可调的周期信号.同时,DDS技术自身具有频率和相位调节功能,无需额外硬件调节电路.利用数模转换器基准电压可调特性设计实现了信号幅度调节.  相似文献   

6.
基于FPGA的DDS信号源设计   总被引:3,自引:0,他引:3  
DDS 是从相位的概念出发进行频率合成的一项新型技术.该文简要介绍了DDS 的工作原理,设计思路和实现方法.该文设计的基于FPGA的DDS信号发生器,频率步进可以很小,切换速度快,频率控制容易,电路设计简单.  相似文献   

7.
利用现场可编程逻辑门阵列(FPGA)实现直接数字频率合成(DDS)原理以及以DDS为核心的信号发生器的设计,并给出了以单片机80C51为内核的FPGA的设计方案及信号发生器产生的仿真波形。  相似文献   

8.
为了实现幅值和频率在一定范围连续可调,频率步进达到1Hz以下信号发生器的设计.采用直接数字频率合成技术(DDS),介绍根据直接数字频率合成技术组成及原理,给出了基于可编程逻辑器件FPGA及相应EDA软件QuartusⅡ实现DDS的具体设计方案及编程实现方法.通过改变设计参数可以调节所产生波形频率和幅度;通过改变ROM查找表中波形数据可以产生任意波形.利用FPGA器件设计DDS,大大简化了电路设计过程,缩短了调试时间,并为修改、添加DDS的功能提供了方便.  相似文献   

9.
数字载波发生器设计与FPGA实现   总被引:2,自引:0,他引:2  
数控振荡器NCO是各种数字频率合成器DDS和数字载波信号发生器的核心部件.应用现场可编程器件FPGA进行数控振荡器的设计是一种新的技术.介绍了数字载波发生器的原理和设计思路,并使用ALTERA公司开发的新一代FPGA设计工具QUARTUSII对FPGA编程实现,给出正弦输出型DDS仿真结果.该方法已在多项遥测系统工程中得到应用.  相似文献   

10.
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计实现了一个频率、相位可调的正弦信号发生器,同时阐述了频率合成技术及直接数字频率合成(Direct Digital Frequency Synthesis ,简称:DDS)技术的原理、电路结构,及设计思路和实现方法,最后简要探讨了抑制DDS杂散和噪声的方法.经过设计和电路测试,输出波形达到了技术要求,控制灵活、性能也好,也证明了基于FPGA的DDS设计的可靠性和可行性.  相似文献   

11.
利用DDS技术,结合QUARTUS II、MATLAB等软件,在FPGA芯片上设计实现了一个频率可调的正弦信号发生器.DDS技术设计的信号相位变换连续、稳定度高、易于调整.经过软件设计和硬件验证,结果符合输出频率50Hz~20kHz可调的技术指标.DDS激磁信号源设计具有可靠性、可行性及控制的灵活性.  相似文献   

12.
国产ZPW-2000A型无绝缘轨道电路解决了调谐区断轨检查,减少调谐区分路死区等缺点被广泛使用于当代铁路运输。该文利用FPGA设计数字电路灵活性高、逻辑功能强等特点,介绍国产ZPW-2000A型移频信号产生机理,阐述直接数字频率合成DDS函数信号发生器的设计流程以及产生波形的原理,基于FPGA设计DDS模块,实现国产ZPW-2000A移频信号发生器的功能。设计结果证明,FPGA实现设计国产ZPW-2000A移频信号发生器具有系统性能稳定、频率可调、可靠性高、相位连续等特点,为铁路运输提供理论和实际应用。  相似文献   

13.
本文使用直接数字频率合成器(DDS)设计和实现正弦信号发生器,并用VHDL硬件语言描述,以Altera Cyclone FPGA EP1C3T144C8作为硬件载体,配合锁相环和高速DAC TH5565芯片实现了正弦信号发生器.  相似文献   

14.
简要分析了直接数字频率合成技术的概念、优点和应用,阐述了DDS工作的基本原理和利用DSP Builder设计正交信号发生器的基本程序,提出了正交信号发生器的仿真和FPGA实现的基本方法。  相似文献   

15.
简要分析了直接数字频率合成技术的概念、优点和应用,阐述了DDS工作的基本原理和利用DSP Builder设计正交信号发生器的基本程序,提出了正交信号发生器的仿真和FPGA实现的基本方法。  相似文献   

16.
夏景明  谈玲 《科技信息》2009,(33):121-121,182
针对当前单片机电子实验室设备过多、集成性和扩展性差的问题设计了由函数信号发生器和虚拟示波器组成的基于双单片机的多功能虚拟实验平台。函数信号发生器采用DDS技术和单片机结合的方法设计了一个信号频率和幅度可以预置且具有很好频率稳定度的函数发生器;虚拟示波器的设计采用单片机和FPGA结合的技术,硬件系统简单可靠、体积小,上位机采用LABWINDOWS结合VC++的技术设计。整个虚拟实验平台在单片机教学改革中使用效果良好  相似文献   

17.
为了简化DDS系统频率控制字的计算处理,提出基于BCD码模10i相位累加器的DDS设计方案.详细介绍了BCD码相位累加器的设计以及应用于DDS系统的相关问题.由于BCD码逐位计算需使用同步多时钟系统,其工作速度较慢,所以它适合应用于频率范围在数MHz以下的DDS信号发生器的单片式设计.  相似文献   

18.
在直接数字频率合成器(DDS)的基础上,利用现场可编程门阵列(FPGA)设计一款数字移相正弦信号发生器,并通过Altera 公司的DE2开发板来验证.在输入环节加入一个数据锁存器,用"软设置"替代"硬设置",同时在ROM的验证中只采样正弦波的正上半周,来代替整个周期的采样,以降低系统的设计规模,减少系统对逻辑资源的需求.最后,绘制数字移相正弦信号发生器的顶层电路图,在QUARTUS 6.0软件中进行仿真和硬件验证结果.  相似文献   

19.
设计一种以现场可编程门阵列( FPGA )作为伪随机序列信号发生器,通过级联方式实现幅度键控( ASK)数字调制的电路实现方法。首先通过FPGA程序控制产生“0”、“1”等概的周期长度为15的伪随机基带序列,直接数字式频率合成器( DDS)电路生成模拟载波信号,输入序列与载波信号进行ASK调制,再将ASK已调信号进行包络检波,还原出数字序列。电路测试表明,利用FPGA可以产生正确伪随机序列,ASK调制解调波形与理论分析一致,具有良好的应用价值。  相似文献   

20.
利用直接数字频率合成技术设计信号发生器,输出的信号频率分辨率高、相位信息连续、频率转换的时间短、可靠性高等优点。系统以单片机和DDS芯片为核心,采用高性能的单片机实现整个电路的控制。本文介绍了DDS的典型结构,根据需求选择性价比较高的DDS芯片AD9852。最后给出DDS信号源设计的结构图。本系统通过软件编程和较少的辅助电路实现信号发生器的功能。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号