首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 78 毫秒
1.
陈明  晏焱 《科技信息》2008,(2):56-56
分析了CAN总线特点以及构建网络的优点重点介绍了CAN总线在某型车载火炮信息管理系统中的成功应用,进行了CA N网络硬件与软件设计,制定相应的通信协议,提高了系统的实时性、可靠性以及抗干扰性。  相似文献   

2.
韩芳  朱玉琴 《科技信息》2012,(7):65-65,37
以煤矿风机监控系统为背景,在CAN总线协议的基础上,在MATLAB的Simulink仿真环境中建立了CAN总线通信系统的仿真模型,运用该模型进行计算机仿真,可以对CAN总线通信系统的性能进行分析,简洁直观地解释CAN总线的媒体访问控制机理,并能动态地仿真通信活动。仿真模型对CAN总线在煤矿风机监控系统中的应用具有重要的指导意义。  相似文献   

3.
CAN总线网络节点的实现及应用   总被引:9,自引:0,他引:9  
为了安全传送现场采集的数据,选择了CAN总线作为现场总线.利用独立CAN控制器SJA1000及普通的单片机可以组成通用的CAN总线网络节点,其应用范围广泛,可以扩展成现场测控装置(开关量输入输出装置).该装置和上位机通信可以组成简单的集散控制系统.此网络节点方案已通过调试,可直接应用到其他系统中.  相似文献   

4.
基于CAN的分布式测试系统通信网络   总被引:6,自引:0,他引:6  
针对分布式测试系统的特点,建立了基于CAN的数据通信网络。对其数据通信进行了研究,结合它在车身视觉检测站中的应用,介绍了通信网络的拓扑结构、信息帧格式、物理层接口、CAN节点控制器通信规等。实验表明,该系统具有传输距离长,速率高,可靠性强,通用性好等优点,非常适用于分布式测试及与此类似的其它场合。  相似文献   

5.
熊禹  周辉 《科技资讯》2012,(22):35-35
在CANoe环境下,对EPS CAN节点进行遗留总线仿真测试,构建仿真节点、应用PANEL面板及CAPL语言实施测试,分析测试结果。通过仿真测试,验证EPS节点的CAN功能与整车设定相符,缩短了EPS及整车CAN总线的开发周期。  相似文献   

6.
刘永富 《科技信息》2012,(22):218-219
随着现场总线技术的不断发展,CAN总线广泛应用于分布式检测和控制系统中。虽然CAN的基本协议在可靠性方面提供了一些策略和保证,但在工作环境恶劣、要求高可靠性的场合,为了工程实际应用的需要,有必要进行高可靠性CAN网络的设计。本文从电路的硬件设计、电磁兼容、总线长度、通讯协议等几方面分析了其对网络可靠性的影响,并加以改进,设计了基于单片机和独立CAN总线控制器的双冗余多功能节点,进行了硬件及程序的开发,实现了冗余CAN通信功能。  相似文献   

7.
使用CANoe对车身控制器局域网络仿真的研究   总被引:13,自引:0,他引:13  
提出了一个轿车车身控制器局域网络的设计方案,包括网络的拓扑结构、层次结构模型、应用层的数据定义和总线的波特率.研究的目的是通过对这个车身网络进行仿真,来分析车身网络的设计是否合理.研究运用了一种新的仿真工具——CANoe.通过应用介绍了CANoe的使用方法.仿真结果表明,所设计的车身控制器局域网络运行状况良好,满足使用要求.  相似文献   

8.
介绍了汽车总线的现状和发展趋势,以及CAN车身总线局域互联网络的技术特征,设计了基于CAN总线的车身控制系统,从而简化了汽车线束,降低了汽车生产成本。指出、CAN总线将更广泛地应用于汽车控制系统。  相似文献   

9.
李伟  谢丁龙 《科技资讯》2006,(23):31-32
介绍了CAN总线实验系统的设计目标和要求,实验系统的结构、特点及其在教学中的应用。经过实践证明,学生们确实从中加深了对现场总线及其网络的理解,并为今后走上工作岗位打下了一定基础。  相似文献   

10.
随着现代汽车技术的迅速发展,当汽车网络上电控单元数量越来越多,总线结构越来越复杂时,测试工作量将成倍增长,传统手动测试已无法满足测试需求。通过对车载网络总线测试的研究,分析了测试方法的优缺点,提出了一种车载网络自动化测试系统。该系统以Vector的工具链为测试平台,通过C#语言开发的上位操作平台对系统进行配置与控制以完成车载网络CAN(controller area network)总线、CANFD(CAN with flexible date-rate)总线、LIN(local interconnect network)总线的测试,解决了以往测试过程中通用性差的缺点,提高了测试效率。  相似文献   

11.
从实际应用出发,以CAN2.0B协议规范为基准,设计并实现基于CAN总线的汽车监控仿真系统。完成了仿真系统的全部硬件和软件的设计及实现。在此基础上,将仿真系统应用于实际车辆中,通过仿真系统监测并采集车辆中多种CAN总线上的数据流并进行分析。利用平台测试、CANOE测试、实车测试等多种手段验证了系统性能。仿真系统必须拥有良好的安全性、灵活性、可靠性和稳定性。  相似文献   

12.
CAN总线作为一种优秀的现场总线,已经越来越多地应用在汽车电子系统中。介绍了基于CAN总线的汽车内部网络系统构架,利用带有CAN控制器的高性能PIC18F258单片机设计了CAN总线接口电路,给出了系统主要硬件结构和软件设计流程。该电路具有硬件设计简单、可靠性高、实时性强等特点。  相似文献   

13.
基于CAN总线的车载数据采集器的设计   总被引:6,自引:0,他引:6  
针对传统汽车仪表中一个表对应一个传感器和一组线的情况,提出了基于CAN总线的车载数据采集器的解决方案,使整个仪表系统只对应一组总线,实现了车辆仪表系统的网络化,使其改善了布线方式,安装维护方便.将嵌入式计算机用于汽车电子领域,并用软件的方法来实现汽车仪表,提升了仪表的精度和档次.给出了数据采集部分和CAN通信接口的硬件设计图,并用流程图方法分析了数据采集和CAN接口的软件设计.还介绍了采集器对于车载虚拟仪表所需主要数据的采集情况,并且给出了计算车速、发动机转速和里程的一种方法.  相似文献   

14.
分析奔腾混合动力汽车的整体控制要求以及各控制模块之间的任务分配.在研究CAN总线通信技术的基础上,选取MC9S12DP512作控制芯片,设计CAN通信模块的硬件电路和软件工作流程,给出CAN模块的初始化实例.根据HEV发动机和传动系统的特点设计了整车控制策略,并对其整车控制逻辑的软件实现进行说明.  相似文献   

15.
基于CAN2.0B和J1939协议,设计了柴油机混合动力客车的控制器局域网络(CAN)总线通信的硬件以及软件,分析了提高通信实时性的CAN通信程序设计方法:CAN通信帧分时收发、缓冲器分时共享以及通信收发优先级调度.通过USBCAN接口卡电子控制模块通信自测,硬件在环监测和各电子控制模块台架联调通信试验,可以得到本系统设计的CAN总线最大负载率为28%,通信质量可靠,符合混合动力客车通信实时性的要求.  相似文献   

16.
任益敏 《科学技术与工程》2012,12(31):8362-8366
为了提高现代农业车辆数据通信的稳定性和实现数据可视化,提出了基于CAN总线的数据通信及存储系统设计方案。在该方案中,由3个STM32为核心处理器、PCA82C250为高速收发器的CAN节点构成数据通信系统,并通过在处理器上移植FAT16文件系统,实现对SD卡的可视化读写,实时记录各个节点采集到的数据。试验表明:在波特率为100 kBps和500kBps的情况下,3个CAN节点间网络畅通,数据通信正常。此外,文件系统在SD卡中创建的文本文档也记录了各节点采集到的数据,实现数据可视化。  相似文献   

17.
为了解决车载总线网络间的通信问题,基于CAN(Controller Area Network)网络,设计并实现了一个CAN网关的基本原型。结合CAN总线的特性,实现车载网络的差错控制、流量控制等基本功能。该网关原型用于连接5个子系统网络,既满足子网内部通信的隔离,又实现了子网间数据的正确交换,有效地降低车载网络的数据流量,进一步实现了汽车系统的网络化。  相似文献   

18.
建立基于多xPC-Target系统的混合动力汽车硬件分布式实时在环仿真平台.通过CAN网络将2个xPC-Target双机系统组合而成.2个xPC-Target双机系统各自运行混合动力汽车各个功能模块的仿真模型,实现数据采集、处理及计算.使用多个xPC-Target系统能够有效分担仿真硬件设备的计算量,有利于提高仿真模型复杂度,提高仿真精度.由CAN网络实现2个xPC-Target系统间的通信.对xPC-Target的性能进行了测试,对CAN通信的时延进行了测试.在所构建的仿真平台上进行的计算验证了仿真平台的可靠性.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号