首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 281 毫秒
1.
宽带ADC低抖动时钟驱动电路的分析与设计   总被引:1,自引:0,他引:1  
提出采用小信号模型对时钟驱动电路中由热噪声引起的时钟抖动进行分析,并提出采用多级准无穷负载差分放大器结构以有效地实现低抖动.通过Cadence Spectre RF的瞬态噪声仿真,可以得到时钟抖动值,在输入频率变化时将仿真结果与手工推导的结果相比较,推导的公式能较好地预测时钟驱动电路的时钟抖动.设计的时钟驱动电路达到了输入频率100 MHz、幅度为480 mV下时钟抖动仅为193 fs,可以应用于高性能模数转换器.  相似文献   

2.
针对高速模数转换器(ADC)对时钟信号的占空比以及低抖动的要求,提出了一种电荷泵型的时钟管理电路,利用电荷泵构成两个闭环回路,分别实现占空比稳定和可调双相不交叠时钟产生功能。电荷泵对时钟相位的积分功能可实现宽范围的时钟占空比调节,并能明显抑制电源噪声对时钟下降沿抖动的影响。该时钟管理电路采用0.18μm标准CMOS工艺设计。版图寄生参数提取后的仿真结果表明:该时钟管理电路可在40~200 MHz频率范围内,将20%~80%的输入占空比稳定地调整到45%~55%的范围内;在200 mV电源干扰的条件下,输出时钟抖动可降低到传统RC型占空比稳定电路的1/10之下。将该时钟电路应用于一款双通道、200MSPS、14位的流水线ADC中,测试结果表明ADC的信号噪声失真比达到了73.01 dB。  相似文献   

3.
延迟锁相环中的压控延迟线是对单粒子事件(single event, SE)最敏感的子电路之一,其主要包括偏置电路和压控延时单元.利用双指数电流拟合3-D TCAD混合仿真中的单粒子瞬态(single-event transient, SET)电流,分析了压控延迟线对SE的敏感性.根据响应程度和电路结构的不同,对偏置电路进行了冗余加固;同时,对压控延时单元中提出了SET响应检测电路.在输入信号频率为1 GHz,电源电压1.2 V,入射粒子LET值为80 MeV·cm2/mg的条件下,Spice仿真表明:和未加固电路相比,偏置电压Vbn和Vbp在受到粒子轰击后,翻转幅度分别下降了75%和60%,消除了输出时钟信号中的丢失脉冲;设计出的检测电路能够将各种情况下有可能出现的SET响应指示出来,提高了输出时钟信号的可靠性.   相似文献   

4.
文章针对通信接收机小型化的要求提出了一种接收机频率源的设计思路,采用TSMC 0.18μm 1P6M混合信号工艺设计锁相环(phase locked loop,PLL)电路结构,设计了一种具有快速锁定时间、较宽频率调谐范围、低相位噪声的电荷泵锁相环(charge pump phase locked loop,CPPLL)。使用Cadence Spectre对电路进行仿真,电路整体具有在输入参考频率23~600 MHz之间产生1.92~2.62 GHz的时钟信号功能。在中心频率2.3 GHz、偏移载波频率10 MHz的情况下,敏感单元环形压控振荡器的相位噪声为-112.9 dBc/Hz。进行版图设计后,对电路进行验证,设计出小型化频率合成器芯片。  相似文献   

5.
设计了一种新的产生RSFQ时钟信号的电路,并利用W IN S软件对电路进行了模拟.它可以产生连续脉冲,脉冲的周期由电路中约瑟夫林传输线的长度决定,可以产生周期约10 ps的连续脉冲.经过扩展,这种电路能通过输入触发脉冲实现振荡的停止,从而产生固定个数的时钟信号,产生时钟信号的数目由启动信号和停止信号的时间差决定;在电路中使用多路开关,还可以在不改变硬件电路的条件下,通过输入触发信号来改变输出时钟信号的周期.  相似文献   

6.
提出了一种自跟踪滤波器的结构和设计方法,输入信号预处理并整形后产生频率信号,频率信号经F/V电路转换成电压信号,再将该电压信号输入到以模拟乘法器MLT04和电流反馈运算放大器AD844为核心构成的压控滤波电路。通过该电压信号调节滤波器的截止频率,从而实现滤波器频率的自动跟踪。详细介绍了设计原理,推导出了设计公式。设计出了自跟踪一阶滤波器的各种电路形式。经过仿真实现了滤波器截止频率在10kHz-100kHz范围内的连续跟踪变化。  相似文献   

7.
针对高速(Gb/s)串行数据通信应用,提出了一种混合结构的高速时钟数据恢复电路.该电路结构结合鉴频器和半速率二进制鉴相器,实现了频率锁定环路和相位恢复环路的同时工作.电路采用1.8 V,0.18μmCMOS工艺流片验证,面积约0.5 mm2,测试结果显示在2 Gb/s伪随机数序列输入情况下,电路能正确恢复出时钟和数据,核心功耗约为53.6 mW,输出驱动电路功耗约64.5 mW,恢复出的时钟抖动峰峰值为45 ps,均方根抖动为9.636 ps.  相似文献   

8.
串行数字通信系统需要从一个串行数据流中抽取一个采样时钟,这个抽取通常由一个叫时钟及数据恢复单元的非线性电路实现,该电路负责跟踪信号中的低频相位变化.本文提出了一种从频率上跟踪、锁定基带信号发端时钟频率从而提取出基带信号时钟的方案,此方法比传统的锁相环提取时钟具有更好的性能.由于它适于采用硬件语言描述,因而可集成在各类数字芯片中.  相似文献   

9.
一种高速低相位噪声锁相环的设计   总被引:1,自引:0,他引:1  
设计了一种1.8V、SMIC0.18μm工艺的低噪声高速锁相环电路.通过采用环行压控振荡器,节省了芯片面积和成本.通过采用差分对输入形式的延时单元,很好地抑制了电源噪声.与传统的简单差分对反相器延时单元相比,该结构通过采用钳位管和正反馈管,实现了输出节点电位的快速转变,整个电路芯片测试结果表明:在输入参考频率为20MHz、电荷泵电流为40μA、带宽为100kHz时,该锁相环可稳定输出频率为7971MHz—1.272GHz的时钟信号,且在中心频率500kHz频编处相位噪声可减小至-94.3dBc/Hz。  相似文献   

10.
在LC梯形网络设计的基础上,提出一种流控自跟踪二阶滤波器的电路结构和实现方法.输入的电压信号先经放大电路处理后,再经限幅电路、整形电路及宽频频率电流转换电路组成的频率自跟踪电路,将放大的电压信号转换为电流信号,以该电流信号作为对数域滤波电路的偏置电流控制端间接调节滤波器的截止或中心频率,从而实现滤波频率对输入频率的自动跟踪.仿真实验结果表明,带通滤波器和低通滤波器的最高中心频率为30MHz,对输入频率的跟踪范围为1~30 MHz.仿真实验验证了该设计方法的有效性和可行性.  相似文献   

11.
Phase locked loop (PLL) is a typical analog-digital mixed signal circuit and a method of conducting a top level system verification including PLL with standard digital simulator becomes especially significant. The behavioral level model (BLM) of the PLL in Verilog-HDL for pure digital simulator is innovated in this paper, and the design of PLL based clock and data recovery (CDR) circuit aided with jitter attenuation PLL for SerDes application is also presented. The CDR employs a dual-loop architecture where a frequency-locked loop acts as an acquisition aid to the phase-locked loop. To simultaneously meet jitter tolerance and jitter transfer specifications defined in G. 8251 of optical transport network ( ITU-T OTN) , an additional jitter attenuation PLL is used. Simulation results show that the peak-to-peak jitter of the recovered clock and data is 5.17ps and 2.3ps respectively. The core of the whole chip consumes 72mA current from a 1.0V supply.  相似文献   

12.
A 3.5 times PLL clock frequency multiplier for low voltage different signal (LVDS) driver is presented. A novel adaptive charge pump can automatically switch the loop bandwidth and a voltage-controlled oscillator (VCO) is designed with the aid of frequency ranges reuse technology. The circuit is implemented using 1st Silicon 0.25 μm mixed-signal complementary metal-oxide-semiconductor (CMOS) process. Simulation results show that the PLL clock frequency multiplier has very low phase noise and very short capture time .  相似文献   

13.
面向高速串行接口应用,设计一款低噪声、快速锁定的高性能锁相环电路,作为5 Gbit· s-1数据率的SerDes发射芯片的时钟源。该设计通过锁存RESET方式增加延迟时间,以减小鉴频鉴相器的死区效应,降低锁相环整体电路的杂散;其压控振荡器采用4 bit二进制开关电容的方法,将输出频率划分为16个子频带,以获得较大的输出频率范围,同时又不增加压控振荡器的增益;在SMIC 55 nm工艺下完成锁相环电路版图设计,核心芯片面积为054 mm2。后仿真结果表明:输出频率覆盖46~56 GHz,1 MHz频偏处的相位噪声在-110 dBc·Hz-1 附近。测试结果显示,RMS 抖动和峰峰值抖动分别为287 ps和134 ps,整体电路功耗为37 mW。  相似文献   

14.
A novel method based on the analysis of instantaneous phase is proposed to extract the jitter on phase-locked loops output clock. The method utilizes the Hilbert transform to extend the real signal of PLLs output into an analytic signal, and the implementation of Hilbert transform is based on the Fourier transform windowed with Hamming window. Then, the jitter of clock is extracted from the instantaneous phase of analytic signal. The experimental results of simulations validate that the proposed method can effectively extract the jitter on PLL clock, and it has better performance by comparing the sinusoidal jitter extraction results with the other methods.  相似文献   

15.
提出一种改进的双控制通路锁相环结构。改进锁相环的两个控制通路有不同的压控振荡器增益。其中, 粗调节通路的压控振荡器增益较大, 用来调节锁相环的输 出频率范围; 细调节通路的压控振荡器增益较小, 用来决定环路带宽, 同时优化锁相环的抖动特性。电路芯片采用SMIC 0. 18 μm CMOS Logic 工艺加工。后仿真结果表明该锁相环的输出频率范围为600 MHz到1. 6GHz, 并有良好的抖动特性。  相似文献   

16.
Phase-locked loops (PLLs) are essential wherever a local event is synchronized with a periodic external event. They are utilized as on-chip clock frequency generators to synthesize a low skew and higher internal frequency clock from an external lower frequency signal and its characterization and measurement have recently been calling for more and more attention. In this paper, a built-in on-chip circuit for measuring jitter of PLL based on a duty cycle modulation vernier delay line is proposed and demonstrated. The circuit employs two delay lines to measure the timing difference and transform the difference signal into digital words. The vernier lines are composed of delay cells whose duty cycle can be adjusted by a feedback voltage. It enables the circuit to have a self calibration capability which eliminates the mismatch problem caused by the process variation.  相似文献   

17.
设计了一种基于电流控制逻辑(CSL)架构的650MHz环型压控振荡器(VCO),对传统的共源共栅结构偏置电路作了进一步的改善,加了一个电压增益较大的放大器构成有源负反馈以提高抗电源噪声的能力.同时也提出了一种阻尼因子控制电路结构,使该VCO可用于快速稳定的锁相环(PLL).该VCO采用和舰0.18μm双阱CMOS工艺仿真,在频率为20MHz、峰—峰值为200mV的高频电源噪声下,其峰-峰抖动和RMS抖动分别为22.649ps和7.793ps。该VCO输出频率为650MHz,占空比约为52%,增益(Kvco)为925.88MHz/V,线性度良好,在1.8V的直流电源下功耗约为0.7mw。  相似文献   

18.
采用标准0.18 μm CMOS工艺,设计了一种高锁定范围的半盲型过采样时钟数据恢复电路.该时钟数据恢复电路(Clock and Data Recovery,CDR)主要由鉴频器(Frequency detector,FD)、多路平行过采样电路、10位数模转换器(Digital To Analog Converter,DAC)、低通滤波器(Low Pass Filter,LPF)、多相位压控振荡器(Voltage Controlled Oscillator,VCO)等构成.该CDR电路采用模数混合设计方法,并提出了基于双环结构实现对采样时钟先粗调后微调的方法,并且在细调过程中提出了加权调相的方法缩短采样时间.仿真结果表明,该CDR电路能恢复1.25~4.00 Gbps之间的伪随机数据电路,锁定时间为2.1 μs,VCO输出的抖动为47.12 ps.  相似文献   

19.
为了解决光模块中高功耗芯片恶化激光调制器性能,以及解决收发端时钟基准偏差导致误码率高的问题,设计了一款低功耗高抖动容限的时钟数据恢复电路(CDR)。通过采用压控振荡器(VCO)型全速时钟的CDR系统架构和电感峰化的时钟缓冲技术,降低了CDR芯片的功耗;通过在CDR积分通路中引入零点补偿电阻,提高了CDR的抖动容限。该CDR采用CMOS 65 nm工艺设计和1.1 V电源供电,后端仿真结果表明:当CDR电路工作在28 Gbps时,功耗是2.18 pJ/bit,能容忍的固定频差是5 000 ppm,恢复时钟的抖动峰峰值是5.6 ps,抖动容限达到了设计指标,且满足CIE-25/28G协议规范。  相似文献   

20.
研究采用将ADI公司的ADF4350频率合成芯片输出信号多次倍频的方法来实现X波段的频率合成器。ADF4350频率合成器具有内置片上VCO(压控振荡器)和PLL(锁相环),集成度高、相位噪声低,工作频带宽,广泛用于无线电基础设备及测试设备,无线LAN,CATV和时钟发生器中。该频率合成器输出频率范围8~12.4G,频率步进50 MHz,相位噪声低于-75dBc@10kHz。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号