首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 78 毫秒
1.
文章根据DDS基本原理及结构,为了提高芯片运算速度,加大输出带宽,减小芯片规模从而提高可靠性和频谱纯度等,对DDS采用了优化设计。首先对相位累加器采用了流水线结构,加快了系统的运行速度;然后对波形ROM采用压缩内存的方法,节省了ROM的存储单元。从而降低了成本和能耗,最后给出了基于matlab仿真语言的波形仿真,并对合成波形进行了噪声分析。经过设计和测试,可输出波形的范围在13.9MHz以内,证明了基于FPGA的DDS设计的可靠性和可行性。  相似文献   

2.
DDS技术的FPGA设计与实现   总被引:1,自引:0,他引:1  
介绍了用Altera的FPGA器件(EPFl0K10)来实现DDS专用芯片的功能,详细讨论了DDS技术的FPGA的设计和实现.  相似文献   

3.
蒋志勇 《科技信息》2012,(1):227-228
信号发生器作为电子技术领域中最基本的电子仪器,广泛应用于各个领域中。随着电子信息技术的发展,对其性能的要求也越来越高,如要求频率稳定性高、转换速度快,具有调幅、调频、调相等功能,另外还经常需要两路正弦信号不仅具有相同的频率,同时要有确定的相位差。因此基于FPGA的DDS波形发生器,具有一定的实际意义。以FPGA芯片EP2C8Q208C8为核心,辅以必要的模拟电路,在Verilog编写的程序控制下,构成了一个基于直接数字频率合成技术的波形发生器。  相似文献   

4.
介绍了一种利用FPGA芯片,基于DDS技术的数字频率信号叠加的设计,首先介绍了DDS的工作原理,之后是系统各模块的设计,最后进行了系统的仿真,经过对仿真结果的分析可以得出该设计可以输出稳定的波形,而且可以实现任意频率波形的叠加,进而可以实现数字信号的频率调制和叠加。  相似文献   

5.
张博  张斌 《应用科技》2015,(1):28-32
直接数字频率合成器(direct digital synthesizers,DDS)是通信、雷达、仪器仪表、空间电子设备和电视等现代电子系统的心脏,其好坏直接影响电子系统的性能指标。提出了MATLAB与FPGA交互的方法,在FPGA设计与实现之前进行了电路的MATLAB仿真,用于验证整个FPGA电路的工作过程及输出结果是否满足要求;最后,在硬件上进行了实现,性能测试验证了设计的正确性和可行性。  相似文献   

6.
基于FPGA的DDS信号源设计与实现   总被引:9,自引:0,他引:9  
利用DDS和FPGA技术设计一种信号发生器.介绍了该信号发生器的工作原理、设计思路及实现方法.在FPGA器件上实现了基于DDS技术的信号源,并可通过键盘控制其输出波形的各种参数,频率可控范围为100 Hz~10 MHz,频率调节步进为100 Hz,频率转换时间为25 ns.  相似文献   

7.
李萍  王裕如  潘亮 《科技信息》2009,(35):27-28
本设计利用FPGA芯片实现直接频率合成器(简称DDS)系统电路的核心部分,采用VHDL硬件描述语言完成对DDS核心电路中各个模块的设计,并设计了与DDS系统相对应的外围硬件电路。这样设计的合成器能够利用8MHz的参考时钟信号合成出频率在O~500KHz的正弦波和余弦波。由于FPGA芯片具有现场可编程的特性,所设计的DDS能够根据不同的要求进行灵活改进,同时具有高集成度、运算速度快、低功耗的特点。  相似文献   

8.
为满足现代电子战低功耗,快速灵巧,实用的要求,噪声调频系统数字化的要求已迫在眉睫。在对噪声数字化产生,调频数字化实现等理论进行深入研究后,本文详细介绍了噪声调频数字化实现的方案及关键技术:噪声调频干扰信号的产生,FIR滤波器的理论及应用,DDS的基本原理及调制特性,并验证其正确性。  相似文献   

9.
阐述了适用于数字微波系统的全数字正交幅度调制方式与一种全数字频率合成DDS技术,把DDS技术应用于64QAM调制器数控振荡器设计中,并利用FPGA实现了64QAM调制器的各个模块单元,最后在Altera公司的QuartusⅡ5.0软件平台上进行了仿真。  相似文献   

10.
DDS的高频率分辨率.快速频率切换以及相位变化连续等优点使其在各种电子系统的设计中得到广泛的青睐.但DDS也存在着输出频谱杂散抑制差和工作频带受限的缺点使其在某些应用场合受到限制.因此,对于有效降低DDS的杂散已经成为DDS系统设计亟待解决的问题.  相似文献   

11.
直接数值频率合成(DDS)是把一系列数字量形式的信号通过D/A转换器转换成模拟量形式的信号合成技术,在通信领域,DDS因具有频率转换时间短、分辨率高、输出相位连续、相位噪声小等优点得到良好的应用。本文从工程应用的角度给出了DDS的verilog HDL设计,重点给出了波形选择、幅值、频率、相位及DAC TLC5615驱动输出等几部分的设计。  相似文献   

12.
基于FPGA的DDS信号源设计   总被引:3,自引:0,他引:3  
DDS 是从相位的概念出发进行频率合成的一项新型技术.该文简要介绍了DDS 的工作原理,设计思路和实现方法.该文设计的基于FPGA的DDS信号发生器,频率步进可以很小,切换速度快,频率控制容易,电路设计简单.  相似文献   

13.
基于FPGA器件EP1K30QC208芯片,采用VHDL设计实现了一个相位、频率均可控制的数字频率合成器,并在ZY11EDA13BE试验系统中完成硬件测试。经实验验证,输出波形达到了技术要求,性能良好,控制方便,证明了基于FPGA的DDS设计的可靠性和可行性。  相似文献   

14.
利用DDS技术,结合QUARTUS II、MATLAB等软件,在FPGA芯片上设计实现了一个频率可调的正弦信号发生器.DDS技术设计的信号相位变换连续、稳定度高、易于调整.经过软件设计和硬件验证,结果符合输出频率50Hz~20kHz可调的技术指标.DDS激磁信号源设计具有可靠性、可行性及控制的灵活性.  相似文献   

15.
在分析了传统的DDS算法的基础上,提出了一种改进方案,使得系统的复杂度降低,更趋于模块化,产生的波形频率更准确.输出采用一个周期8个采样点的定点输出,系统时钟频率为80MHz,信号的谐波小于70dB.输出信号的范围为DC到10MHz,信号频率的步长为0.1Hz,相应的转换速度为12.5ns.  相似文献   

16.
基于DDS技术的FM信号发生器的设计及其FPGA实现   总被引:1,自引:0,他引:1  
以FPGA为主要硬件,采用直接数字频率合成技术结合嵌入式锁相环,开发出了一种具有数字调制功能的FM信号发生器,并在自行研制的ALTERA Cyclone实验板上得到实现.经调试,该信号发生器的频率分辨率为0.596 HZ,最高输出载波频率达到10 MHz,同时具有输出相位连续,抗干扰能力强等优点.  相似文献   

17.
基于DSP Builder设计2PSK 调制解调器中的DDS   总被引:1,自引:0,他引:1  
基于MATLAB/SimulinkDSPBuilder和QuartusⅡ设计2PSK调制解调器中的DDS.在模块形成的方式上用DSPBuilder替代了VHDL编程,在同一工作平台上实现了系统建模与硬件实现的有机结合.设计过程便捷、高效,仿真分析表明设计达到了预期的结果.  相似文献   

18.
介绍了一种基于FPGA的GMSK调制器的设计实现.该设计充分利用FPGA内部丰富的Block RAM资源,采用DDS查表法对GMSK基带调制信号进行了实现,然后通过正交调制将基带信号变换到所需的中频频率上.经过测试验证,本设计实现的GMSK调制信号的EVM(RMS)在6%以下,能有效的满足系统性能指标.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号