首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 352 毫秒
1.
正弦信号源直接数字合成的实现   总被引:2,自引:0,他引:2  
研究利用直接数字合成(DDS)技术产生正弦信号源的方法,对DDS中由相位截断、幅度量化、数模转换和参考时钟引入的杂散信号进行了分析,并用Matlab对相位截断误差和幅度量化误差进行了仿真,仿真结果与理论计算值吻合.同时,在现场可编程门阵列(FPGA)上用DDS技术实现了一个正弦波信号源,给出了它的用频谱仪实测的频谱.结果表明,用DDS产生正弦信号可以得到良好的频谱特性,能够满足系统要求.  相似文献   

2.
本文介绍了一种实现MSK调制信号的方法。该方法结合了DDS和PLL技术的特点,采用二次混频方案,实现了码速率达16Mb/s的L波段(1030MHz和1090MHz)MSK调制信号源。文中对调制后的信号质量进行了测试,并通过测试结果对DDS系统时钟与FPGA系统时钟同步的重要性进行了说明。测试结果表明该信号源的EVM RMS值最大为6.7%(在1030MHz时测得),最小仅为2.3%(在1090MHz时测得),并且当DDS系统时钟与FPGA系统时钟同步时,其调制信号的信号质量要大大优于两者不同步时的信号质量。  相似文献   

3.
介绍了一种实现MSK调制信号的方法。该方法结合了DDS和PLL技术的特点,采用二次混频方案,实现了码速率达16Mb/s的L波段(1 030MHz和1 090MHz)MSK调制信号源。对调制后的信号质量进行了测试,并通过测试结果对DDS系统时钟与FPGA系统时钟同步的重要性进行了说明。测试结果表明该信号源的EVM RMS值最大为6.7%(在1 030MHz时测得),最小仅为2.3%(在1 090MHz时测得),并且当DDS系统时钟与FPGA系统时钟同步时,其调制信号的信号质量要大大优于两者不同步时的信号质量。  相似文献   

4.
超声相控阵系统中高精度相控发射的实现   总被引:8,自引:1,他引:8  
相控阵超声发射波束形成中的关键环节是对各阵元的发射相位延时进行精确控制。提出了一种新颖的高精度相控发射电路,它采用波形激励方式,通过D/A转换器将任意复杂的数字波形转换成模拟信号,经放大驱动后激励各阵元发射超声。以波形激励为基础,采用D/A输出时钟和直接数字频率合成(directdigitalsynthesis,DDS)技术相结合的方法实现了高精度的发射相控延时。基于D/A输出时钟的相控发射粗延时分辨率为15ns。基于DDS的相控发射细延时可达到1.41°的相位分辨率,对应3.096MHz发射信号下1.25ns的相位延时。实验表明,该相控发射电路能够达到很高的相控发射精度。  相似文献   

5.
在介绍传统的直接数字频率合成(DDS)技术和坐标旋转数字计算机(CORDIC)算法原理的基础上,就如何选择CORDIC算法的参数进行分析,并给出了推导过程。设计了一种基于高速并行流水线结构CORDIC算法的正弦信号发生器,在QuartusⅡ和Modelsim平台上综合和仿真表明,时钟频率可达205 MHz,误差在10-5数量级。给出了FPGA设计的具体过程,软件仿真结果和硬件应用结果。  相似文献   

6.
直接数字频率合成器AD9852的原理及应用   总被引:2,自引:0,他引:2  
AD9852是美国AD公司生产的新型直接数字频率合成器(DDS),是一种使用方便灵活、功能较强的芯片。这种商用集成芯片可用于本振合成回路,高精度时钟发生器等。介绍了AD9852的工作原理、功能及其在本机振荡器中的应用。  相似文献   

7.
本文介绍了直接数字频率合成技术(Direct Digital Frequency Synthesizer,简称DDS)的原理和特点。研究了用FPGA实现DDS的设计方法,给出了为提高芯片运算速度而采用的并行进位加法器、流水线架构的优化方法,采用了线性插值查表法实现DDS的方案。给出了采用ALTERA公司的Cyclone系列FPGA芯片EP1C6144C8进行直接数字频率合成的波形仿真图。简述了程序逻辑运算过程中产生毛刺的原因,并提出消除毛刺的四种方法。  相似文献   

8.
基于DDS技术的数控信号源的设计   总被引:8,自引:0,他引:8  
介绍了一种基于直接数字合成(DirectDigitalSynthesis简称DDS)技术的小型信号发生器的设计制作。详细介绍了单片机控制电路、DDS信号产生电路,并给出了系统软件设计方案。  相似文献   

9.
基于正交上变步调制原理,针对DDS(真接数字频率合成)激励PLL(锁相环)频率合成器的某些不足提出了一种频率信号生成的新方法,该方法在微波频段保持了DDS的所有特性,不仅克服了由于DDS激励PLL频率合成器所产生的DDS性能弱化及相位噪声增大的缺点,也抑制了在混频器中上变频所带来的双边带中的无用边带。同时因使用AD8346正交调制芯片与AD9854 DDS芯片,使得设计变得经济、简单易行。  相似文献   

10.
为了实现幅值和频率在一定范围连续可调,频率步进达到1Hz以下信号发生器的设计.采用直接数字频率合成技术(DDS),介绍根据直接数字频率合成技术组成及原理,给出了基于可编程逻辑器件FPGA及相应EDA软件QuartusⅡ实现DDS的具体设计方案及编程实现方法.通过改变设计参数可以调节所产生波形频率和幅度;通过改变ROM查找表中波形数据可以产生任意波形.利用FPGA器件设计DDS,大大简化了电路设计过程,缩短了调试时间,并为修改、添加DDS的功能提供了方便.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号