首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
文章根据DDS基本原理及结构,为了提高芯片运算速度,加大输出带宽,减小芯片规模从而提高可靠性和频谱纯度等,对DDS采用了优化设计。首先对相位累加器采用了流水线结构,加快了系统的运行速度;然后对波形ROM采用压缩内存的方法,节省了ROM的存储单元。从而降低了成本和能耗,最后给出了基于matlab仿真语言的波形仿真,并对合成波形进行了噪声分析。经过设计和测试,可输出波形的范围在13.9MHz以内,证明了基于FPGA的DDS设计的可靠性和可行性。  相似文献   

2.
蒋志勇 《科技信息》2012,(1):227-228
信号发生器作为电子技术领域中最基本的电子仪器,广泛应用于各个领域中。随着电子信息技术的发展,对其性能的要求也越来越高,如要求频率稳定性高、转换速度快,具有调幅、调频、调相等功能,另外还经常需要两路正弦信号不仅具有相同的频率,同时要有确定的相位差。因此基于FPGA的DDS波形发生器,具有一定的实际意义。以FPGA芯片EP2C8Q208C8为核心,辅以必要的模拟电路,在Verilog编写的程序控制下,构成了一个基于直接数字频率合成技术的波形发生器。  相似文献   

3.
DDS技术的FPGA设计与实现   总被引:1,自引:0,他引:1  
介绍了用Altera的FPGA器件(EPFl0K10)来实现DDS专用芯片的功能,详细讨论了DDS技术的FPGA的设计和实现.  相似文献   

4.
利用DDS技术,结合QUARTUS II、MATLAB等软件,在FPGA芯片上设计实现了一个频率可调的正弦信号发生器.DDS技术设计的信号相位变换连续、稳定度高、易于调整.经过软件设计和硬件验证,结果符合输出频率50Hz~20kHz可调的技术指标.DDS激磁信号源设计具有可靠性、可行性及控制的灵活性.  相似文献   

5.
DDS的高频率分辨率.快速频率切换以及相位变化连续等优点使其在各种电子系统的设计中得到广泛的青睐.但DDS也存在着输出频谱杂散抑制差和工作频带受限的缺点使其在某些应用场合受到限制.因此,对于有效降低DDS的杂散已经成为DDS系统设计亟待解决的问题.  相似文献   

6.
采用FPGA可编程芯片实现直接数字式频率合成器(DDS)的设计方法,并用VHDL语言在EPM2C5T144C8芯片上实现了DDS的核心功能设计,通过MAX+PLUSII软件对设计进行了仿真,验证了设计的正确性.DDS中的相位累加器使该系统具有较高的频率分辨率,可实现快速频率切换,有广泛的应用价值.  相似文献   

7.
利用DDS (直接数字频率合成)原理、采用FPGA(现场可编程门阵列)芯片EP1C3T144C8实现系统时序及进行波形RAM的设计,并采用单片机进行显示控制及频率和相位设置,实现了高分辨率任意波形信号输出.  相似文献   

8.
随着可编程逻辑器件的不断发展,利用DDS技术原理在FPGA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,具有成本低、在线更新、硬件开发软件化、操作灵活等优点.本文介绍了一种基于FPGA的DDS函数信号发生器设计,实现了输出100Hz~1MHz的正弦波、方波、三角波,频率设置等功能,具有一定的实用价值.  相似文献   

9.
阐述了适用于数字微波系统的全数字正交幅度调制方式与一种全数字频率合成DDS技术,把DDS技术应用于64QAM调制器数控振荡器设计中,并利用FPGA实现了64QAM调制器的各个模块单元,最后在Altera公司的QuartusⅡ5.0软件平台上进行了仿真。  相似文献   

10.
基于FPGA的DDS信号源设计与实现   总被引:9,自引:0,他引:9  
利用DDS和FPGA技术设计一种信号发生器.介绍了该信号发生器的工作原理、设计思路及实现方法.在FPGA器件上实现了基于DDS技术的信号源,并可通过键盘控制其输出波形的各种参数,频率可控范围为100 Hz~10 MHz,频率调节步进为100 Hz,频率转换时间为25 ns.  相似文献   

11.
王静 《科技信息》2012,(23):113-114
本文利用FPGA器件实现了DDS系统中的关键部分DDS核,所设计的DDS核,由相位累加器和波形数据表组成,可以实现产生任意波形。FPGA器件作为系统控制的核心,其灵活的现场可更改性,可再配置能力,对系统的各种改进非常方便,在不更改硬件电路的基础上进一步提高系统的性能。  相似文献   

12.
基于FPGA器件EP1K30QC208芯片,采用VHDL设计实现了一个相位、频率均可控制的数字频率合成器,并在ZY11EDA13BE试验系统中完成硬件测试。经实验验证,输出波形达到了技术要求,性能良好,控制方便,证明了基于FPGA的DDS设计的可靠性和可行性。  相似文献   

13.
本文介绍的是动态通信背景信号生成系统的设计与研究。首先从理论上分析了几种背景信号生成的设想,比较其优缺点,然后重点描述了"FPGA+DDS"方案。此方案虽然总体控制单元设计较为复杂,但兼顾运算速度和灵活性,既满足底层信号处理算法要求,又满足高层系统控制分析的需要,成为此系统设计方案的首选。  相似文献   

14.
基于FPGA和双端口RAM的DDS任意波形发生器的实现   总被引:1,自引:0,他引:1  
介绍了一种基于FPGA和双端口RAM的DDS任意波形发生器的实现方法。该系统使用单片机作为主控器,完成用户接口的处理和协调控制的功能,FPGA在实现了DDS中的累加器的功能的同时也集成了单片机的外围接口电路,双口RAM的引入使得在单片机向其写入数据的同时,FPGA可进行扫描输出,加快了系统速度。同时给出了在Proteus和Matlab下的仿真结果。  相似文献   

15.
直接数字频率合成技术(DDS)是当前使用最广泛的频率合成技术,它所产生的信号具有频率分辨率高、切换速度快、切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点,被广泛应用于通信、雷达、电子对抗和仪器仪表等诸多领域。该文首先介绍了此技术的基本结构和工作原理,其次通过verilong语言编写设计了一个DDS系统。  相似文献   

16.
介绍了一种基于FPGA的GMSK调制器的设计实现.该设计充分利用FPGA内部丰富的Block RAM资源,采用DDS查表法对GMSK基带调制信号进行了实现,然后通过正交调制将基带信号变换到所需的中频频率上.经过测试验证,本设计实现的GMSK调制信号的EVM(RMS)在6%以下,能有效的满足系统性能指标.  相似文献   

17.
直接数值频率合成(DDS)是把一系列数字量形式的信号通过D/A转换器转换成模拟量形式的信号合成技术,在通信领域,DDS因具有频率转换时间短、分辨率高、输出相位连续、相位噪声小等优点得到良好的应用。本文从工程应用的角度给出了DDS的verilog HDL设计,重点给出了波形选择、幅值、频率、相位及DAC TLC5615驱动输出等几部分的设计。  相似文献   

18.
在图像处理、数字信号处理等领域需要用到大量加法运算,加法器运算性能对整个系统影响重大。根据操作模型原理,采用珠算算法设计了一个流水结构的并行高速硬件加法器,并在Xilinx Virtex-II的FPGA上实现了设计方案。在FPGA上集成8个处理单元完成并行计算,处理单元运用流水线结构,提高运算频率,并采用数据调度模块解决流水线上“数据相关”问题。仿真结果表明,32位珠算加法器平均运算仅需0.712ns,其速度是32位串行加法器的8.771倍,是32位并行加法器的1.588倍。这对于进一步优化实现硬件乘法器,甚至最终实现硬件除法器提供了研究空间。  相似文献   

19.
根据人对光强感知的研究,采用自动降压的方法改进路灯照明系统的供电方式.系统使用Al-tera II芯片,应用DDS技术生成SPWM波,驱动IGBT逆变器,通过改变正弦波的幅度来调整输出电压,实现节能.系统具有频谱良好,精确度高,体积小,参数调整容易,智能化等优点.该设计在QuartusII9.0、ModelSim-Al-tera 6.4a的混合仿真环境下验证通过.  相似文献   

20.
介绍了一种利用FPGA芯片,基于DDS技术的数字频率信号叠加的设计,首先介绍了DDS的工作原理,之后是系统各模块的设计,最后进行了系统的仿真,经过对仿真结果的分析可以得出该设计可以输出稳定的波形,而且可以实现任意频率波形的叠加,进而可以实现数字信号的频率调制和叠加。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号