首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 500 毫秒
1.
硬件描述语言VHDL到Verilog的翻译   总被引:2,自引:1,他引:1  
分析了两种常用硬件描述语言 Verilog和 VHDL的语言特征 ,找出它们之间内在的对应关系 ,并阐述了由 VHDL向 Verilog语言翻译的实现方法。这对于硬件设计具有辅助作用  相似文献   

2.
孙敦艳 《科技信息》2011,(10):126-126
Verilog HDL和VHDL语言是两大用于逻辑设计的硬件描述语言,将硬件描述语言引入到数字逻辑电路教学中来,有利于学生更好地掌握数字电路。  相似文献   

3.
VHDL在数字电路设计中的应用   总被引:3,自引:0,他引:3  
硬件描述语言已成为当今以及未来电子设计自动化(EDA)解决方案的核心,特别是对于深亚微米复杂数字系统的设计,硬件描述语言具有独特的作用。本利用硬件描述语言中的工业标准语言VHDL,设计了一个空调机控制器电路,并通过仿真实现了预定功能。结果表明,VHDL在数字电子电路的设计中具有硬件描述能力强、设计方法灵活等优点。  相似文献   

4.
本文以分频比为2.5的半整数分频器的设计为例,介绍了在MAX+plus Ⅱ开发软件下,利用VHDL硬件描述语言以及原理图的输入方式来实现半整数分频器的过程和方法。  相似文献   

5.
应用硬件描述语言VHDL开发FPGA   总被引:1,自引:0,他引:1  
硬件描述语言(VHDL)是数字系统高层设计的核心,围绕硬件描述语言在数字硬件系统设计中的应用展开,介绍了VHDL语言特点及FLEXIOK的结构,硬件描述语言设计描述方法,说明了应用VHDL的EDA设计流程.并给出了VHDL一个设计实例。  相似文献   

6.
VHDL是目前通用的硬件描述语言,它支持行为级和结构级的硬件描述,其描述的层次可以从最抽象的系统级一直到可实现的逻辑级的最底层。在描述数字系统时,VHDL可以使用前后一致的语义和语法,并可以使用跨越多个级别的混合描述仿真该系统。因此,可以对由高层次行为描述和低层次详细实现组成的系统进行仿真。  相似文献   

7.
VHDL作为一种电路硬件描述语言,目前正在被越来越多的电子技术设计人员所应用。本文阐述了VHDL的特点,通过一个简单的例子介绍了VHDL语言的应用,说明了实现电子电路和自动化设计(EDA)过程。  相似文献   

8.
蔡志健  丁爱萍 《江西科学》2005,23(6):784-787
硬件描述语言在深亚微米复杂数字系统的设计中具有独特的作用。利用硬件描述语言中的工业标准语言VHDL。设计了高速图像采集系统的硬件结构及工作原理,讲述FPGA在图像采集与数据存储部分的VHDL模块设计,给出采集同步模块的VHDL源程序。结果表明,VHDL在硬件设计上是非常有效的,在数字电子电路的设计中具有硬件描述能力强、设计方法灵活等优点。  相似文献   

9.
电子设计自动化技术正成为电子系统设计的主流。本文基于可编程逻辑器件FPGA,利用硬件描述语言VHDL设计实现了一个简易中央处理器,为今后的进一步开发打下了基础。  相似文献   

10.
高凡  贾磊磊 《科技信息》2010,(19):133-134
简要介绍了FPGA器件的特点和应用范围,并以分频比为7.5的半整数分频器的设计为例,介绍了在MAX+plus Ⅱ开发软件下,利用VHDL硬件描述语言以及原理图的输入方式来设计数字逻辑电路的过程和方法。  相似文献   

11.
介绍了一种利用硬件描述语言Verilog HDL设计PWM输出控制的方法,用以实现电机控制、交流检测等功能。并以电机控制为例,重点对硬件电路和软件设计进行了论述。与纯硬件电路相比,硬件描述语言VerilogHDL设计的电路可以根据需要随时进行改变,使得电路的实时性和设计弹性得到了较大的提高。  相似文献   

12.
基于IEEE 802.16d OFDM系统的FPGA设计   总被引:1,自引:0,他引:1  
研究基于IEEE 802.16d OFDM系统的FPGA实现.首先探讨了基于802.16d的OFDM系统FPGA设计的构架.然后采用VHDL硬件描述语言实现了发送端和接收端各个模块.最后选用了Altera公司Cyclone Ⅱ系列的EP2C35F484C6芯片,在Quartus Ⅱ软件环境下对VHDL代码进行了综合.  相似文献   

13.
本文针对一个可广泛应用于嵌入式系统的多周期处理机,提出了其控制模块的设计方法,用Verilog硬件描述语言设计实现了该控制模块,并通过仿真验证了其功能是正确的。  相似文献   

14.
介绍了一种利用硬件描述语言Verilog HDL设计PWM输出控制的方法,用以实现电机控制、交流检测等功能.并以电机控制为例,重点对硬件电路和软件设计进行了论述.与纯硬件电路相比,硬件描述语言VerilogHDL设计的电路可以根据需要随时进行改变,使得电路的实时性和设计弹性得到了较大的提高.  相似文献   

15.
如今,用SystemC进行硬件设计和建模已成为一种趋势。但是,VHDL和Verilog是被公认的业界标准,因此,有时就不可避免要将SystemC转换成VHDL或Verilog。本文介绍了几种比较典型的免费翻译工具,简要阐述了各自的特性并作了一个比较。如果进行较大的设计研究,选择SystemCrafter SC和Agility Compiler比较理想。  相似文献   

16.
简要介绍了硬件描述语言VHDL语言的基本结构 ,并将应用VHDL语言的软件设计方法和传统的数字电路硬件设计方法相对照 ,阐述了其在数字电路设计上的应用  相似文献   

17.
杨伟 《科技信息》2010,(27):I0085-I0085,I0016
本文给出了基于FPGA的DES/3DES加密算法高速实现方法,设计中都采用了全流水线的方式来实现,大大的提高了系统的工作频率,模块用硬件描述语言Verilog实现,经过功能仿真,得到正确结果,最终下载到FPGA芯片中,验证结果。  相似文献   

18.
用形式化的方法描述了硬件描述语言Verilog的语法和语义,建立了一个Verilog的操作语义模型。分别用二元组和四元组描述Verilog非并发和并发成分的状态,刻画了不同语句的状态转换规则,并用实例描述了并发程序的执行过程,证明了该操作语义模型的正确性。  相似文献   

19.
董佳辉 《科技信息》2009,(15):33-33
论述了在"数字电路"教学和实验中普及VHDL语言的必然性和必要性,对VHDL硬件描述语言的授课和实验方法作了初步探索。  相似文献   

20.
1引言 1980年3月,美国国防部实施了旨在提高高速集成电路技术领域尤其是国防系统的技术水平的“VHSIC计划”。计划初期就已明确提出需要一种用以交流设计数据的硬件描述语言。1981年夏,国防分析协会组织了一个专门小组来定义这种标准语言的需求。国防部以该小组的最终报告为基础定义了VHSIC硬件描述语言即VHDL的需求集合,提出了实现VHDL及其支持环境的二阶段方案,并由TI、IBM、Intermetrics三家  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号