首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 62 毫秒
1.
基于CPLD的帧转移面阵CCD驱动电路的设计   总被引:3,自引:0,他引:3  
针对e2v公司的CCD47-20 Backthinnned NIMO型CCD,详细地介绍了其帧转移面阵CCD的驱动电路的设计。选用Altera公司的可编程逻辑器件EMP7128SLC-84-10设计了CCD的驱动时序;CCD的偏置电压电路采用国家半导体公司的LM117T设计;CCD驱动器则是用了MAXIM公司的MAX4426MJA完成了设计。设计的CCD驱动电路可以满足其帧转移面阵CCD的各项驱动要求。  相似文献   

2.
电荷耦合器件CCD是目前广泛应用的集成半导体传感器件,通过对线阵CCD的结构原理及驱动时序等主要特性进行分析,运用VHDL硬件描述语言,结合复杂可编程逻辑器件CPLD,完成了对TCD132D线阵CCD驱动电路的可编程设计。设计仿真结果与实验结果表明该电路能提供多种驱动时序,硬件电路简单,实用性强,为实现线阵CCD驱动频率可调、积分时间可调的驱动设计提供了实践依据。  相似文献   

3.
为了提高线阵CCD的驱动频率、简化驱动电路。本文在对线阵CCD的驱动脉冲及时序关系进行详细阐述和分析后,设计出了一种基于单片机的CCD驱动电路,这种方法发挥了单片机的优势,使驱动具有方便、灵活、可靠性高、成本低等优点。  相似文献   

4.
本文介绍了一种基于SoPC技术的线阵CCD驱动,并以线阵CCD TCD1500C为例,探讨了该技术的特点和优势。由实验结果可知:该驱动电路功耗小、成本低、抗干扰能力强、适应与工程小型化的要求,并且由实验给出了电路原理图和FPGA电路的时序仿真波形。  相似文献   

5.
在CCD探测中,高速CCD驱动电路的设计是CL-7)相机成功捕获目标的关键技术之一.不同CCD的驱动时序也不同,通常是为周期性且关系比较复杂的脉冲信号,应匹配以合适的驱动器才能使性能达到最优化.因此,必须根据不同CCD的工作时序来设计不同的驱动电路.文章通过对几个常用的CCD进行驱动时序分析,总结归纳了一些常规CCD驱动电路的实现方法.  相似文献   

6.
基于ALTERA CPLD的单稳态脉冲展宽电路   总被引:2,自引:0,他引:2  
汲清波  冯驰 《应用科技》2001,28(12):7-8,6
主要介绍了CPLD设计单稳态窄脉冲展宽电路的详细过程和这种单稳态窄脉冲展宽电路的特点,给出了相应的时序仿真波形和计数器的VHDL语言设计。  相似文献   

7.
数控折弯机控制系统中对滑块位移的检测采用光栅尺,分析了光栅输出信号四倍频细分与辨向的原理,给出了采用可编程逻辑器件(CPLD)实现四倍频细分辨向与计数的设计方法,利用VHDL硬件描述语言编写了四倍频细分辨向与计数模块程序,并进行了功能仿真。仿真结果表明,电路能够满足数控折弯机控制系统的要求,而且电路简单,修改简单容易。  相似文献   

8.
基于FPGA的全帧紫外CCD驱动时序设计   总被引:1,自引:0,他引:1  
文章介绍了槟松紫外全帧背照式面阵CCD(S7171 0909)的结构和工作特点,分析了该芯片驱动时序要求;采用可编程逻辑器件EP2C8作为硬件平台,在Quartus Ⅱ 9.1软件环境下,用基于状态机的算法对时序电路进行了描述,设计产生了芯片正常工作所需的时序脉冲信号,并选用EL7202作为CCD驱动器对时钟脉冲进行功率放大.调用第三方软件进行仿真,并给出实际工作输出波形,结果表明,设计的时序电路满足CCD对各驱动信号的要求.  相似文献   

9.
CCD图像传感器及其视频信号处理电路的应用   总被引:1,自引:0,他引:1  
首先对CCD的工作原理进行简单的介绍,然后对CCD输出的信号特性进行简要描述。最后介绍专用CCD信号处理芯片VSP2212在相机设计中的应用。  相似文献   

10.
简述了EDA技术在电子系统设计中的功能和作用,阐述了用EDA工具和可编程逻辑器件设计多功能计时器的开发流程、仿真结果.实践表明,利用EDA技术进行电子系统的设计,有着设计快速、调试方便、研制周期短、系统可靠性高等一系列的优点,EDA技术是现代电子设计的发展趋势.  相似文献   

11.
提出了一种基于CPLD(复杂可编程逻辑器件)实现木材自动测量仪中图像传感器驱动时序电路的方法.选用ALTERA公司的CPLD作为硬件设计平台,运用硬件描述语言对驱动时序电路进行了描述,并给出了部分程序(φ1的时序).采用ALTERA公司的仿真工具QUARTUSⅡ软件对所设计图像传感器的驱动程序进行了仿真,并用数字示波器测量输出波形.测量和仿真结果表明设计方案用于木材自动测量仪中图像传感器的驱动是可行的.  相似文献   

12.
线阵CCD广泛应用于测量和图像采集中,其驱动电路和驱动信号对系统工作稳定性有重要的影响.在分析TCD1206SUP线阵CCD驱动时序信号基础上,采用VHDL语言,结合Quar-tusⅡ7.2软件平台,设计以FPGA为核心的工作电路.在外部控制端选择下,该系统可以多种不同的频率工作,比传统的外部分频驱动电路更简单,性能更稳定,实用性强,调节方便.  相似文献   

13.
基于CPLD的CMOS图像传感器的驱动电路设计   总被引:3,自引:0,他引:3  
在分析CYPRESS公司的IBIS5-A-1300-CMOS驱动时序的基础上,设计了多斜率积分的驱动时序发生器。选用复杂可编程器件(CPLD)作为硬件设计载体,使用VHDL语言对驱动时序发生器进行了硬件描述。采用QuartusⅡ5.0软件对所做的设计进行了功能仿真,针对ALTERA公司的CPLD器件MAXⅡEPM570T144C3进行适配。系统测试结果表明,所设计的驱动时序发生器满足CMOS相机驱动要求,而且在同步快门下还能调节积分时间。  相似文献   

14.
提出了一种基于CPLD的新型线阵CCD动态驱动电路的设计方法,系统微处理器根据光强变化,调节输出的空驱动数目,从而动态控制光积分时间。采用该驱动电路,系统可在高速工作主频下,获得较高的系统采样精度,并且解决了CCD输出信号受环境影响而产生的饱和失真和背景与物体无法分开的问题。目前,此驱动电路已应用于钢厂带钢纵切机组自动对中系统中,效果良好。  相似文献   

15.
基于CPLD的CCD图像敏感器驱动时序设计   总被引:1,自引:0,他引:1  
介绍了一种CCD图像敏感器——TCD142D,分析了其驱动时序信号,选用复杂可编程逻辑器件(CPLD)作为硬件设计平台,使用VHDL语言对驱动时序发生器进行了硬件描述,采用QuartusII对所设计的时序发生器进行了仿真,针对Altera公司的可编程逻辑器件EPM7064SLC44进行适配。实验结果表明,驱动时序发生器的设计是正确的,可以满足CCD工作驱动要求。  相似文献   

16.
一种CCD驱动电路设计的简明方法   总被引:1,自引:0,他引:1  
介绍一种利用单片机软件及少量的外围器件产生CCD驱动信号的方法。这种方法简明、实用、体积小,产生CCD驱动信号精确、可调,给出了使用P89LPC901单片机产生TCD2502C-1线阵CCD驱动信号的实例。  相似文献   

17.
以某型飞机无线电高度表检测仪研制为背景,通过采用CPLD器件和VHDL硬件语言,设计实现了一种能替代该无线电高度表检测仪检验组合功能的单双极性码转换电路,并给出了VHDL程序和相应的时序仿真波形。  相似文献   

18.
CCD芯片的驱动电路是整个高帧频图像采集系统的核心部分,它关系到整个系统的性能和技术指标.分析并实现了DALSA公司1M像素的帧转移型高帧频CCD芯片FT50M的内部结构和驱动时序,并采用集成芯片设计了该CCD芯片的驱动时序和所需的偏压电路,进而改进了CCD芯片的偏置电压电路,采用大多数的偏置电压由SFD信号生成的方式.因此只需产生极少偏置电压即可生成所需全部偏压,这是目前十分安全的偏压解决方案,并选用了FPGA作为核心控制器件.实验表明:此设计不仅简化了电路,还具有性能好、功耗低、体积小的优点,实现了对高帧频CCD图像采集系统的驱动控制.  相似文献   

19.
黄文林 《科学技术与工程》2012,12(30):8052-8057
以东芝公司生产的TCD1711DG线阵CCD为例,研究了一种基于CPLD的线阵CCD驱动电路的设计方法。首先,分析了线阵CCD基本结构和工作原理,并叙述了线阵TCD1711DG驱动脉冲的时序要求。在QuartusⅡ开发系统上,运用Verilog描述的有限状态机,设计了基于Altera公司MAX 7000S系列EPM7032STC44的驱动电路。最后,采用ModelSim SE软件进行仿真,并用示波器测试出CPLD输出的驱动脉冲。仿真和试验结果表明,CCD输出结果完全符合TCD1711DG的时序要求。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号