首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
目前16位精度的坐标旋转数字计算机(CORDIC)算法设计存在输出时延长、硬件消耗大等问题,而在数字加密和信息安全等领域需要32位乃至更高精度的输出.文中提出了一种基于压缩查找表的32位精度CORDIC算法,根据内在迭代规律分解并压缩查找表,还采用区间折叠、角度重编码和合并迭代等,实现了一种电路资源消耗不大,输出时延仅需3个时钟周期,基于定点数格式的算法设计.仿真结果表明,查找表容量压缩到常规方法所需容量的1. 78%,输出时延从常规方法所需的8个时钟周期降低至3个时钟周期,输出平均误差为2. 3048×10-10.本设计具有电路资源消耗少、精度高、输出时延低等优点,更适合实时性强、硬件资源紧凑的现代通信应用场合.  相似文献   

2.
开平方运算广泛应用于数值分析、调制解调、图像处理等领域,而应用坐标旋转数字计算(Coordinate Rotation Digital Computer,CORDIC)进行平方根运算是一种新应用.基本CORDIC算法精度必须用迭代次数作保证,而较多的迭代次数会导致时延过大等问题,通过运用建立查找表、单向旋转、合并迭代和免除补偿因子等手段,提出一种能够免去大部分迭代运算的改进CORDIC算法用于平方根计算.相较于基本算法计算平方根,该改进算法使用了一半的时钟周期便能得到输出结果,大大减少了输出时延,而且可以达到较高的计算精度,更加适合实时性要求高的应用场合.  相似文献   

3.
针对迭代方式运算的CORDIC(坐标旋转数字计算机)算法存在着输出延时大、运算速度慢、硬件消耗较多等问题,提出改进的CORDIC算法,将查找表法和传统CORDIC算法相融合,直接用二进制角度值的补码进行旋转计算.首先通过查找表将角度值细化,然后通过数学量化分析,根据细化后的较小角度补码,直接按其位值进行2-i角度的免缩放因子单向旋转,无须根据中间迭代结果判断次级迭代方向,有效减少迭代次数及中间数据处理时间.仿真实验结果表明:16位改进的CORDIC算法能有效输出正余弦值补码,最大时延降低37.5%,寄存器消耗节省47.5%,最高工作频率有所提高,平均误差值也有所减小.  相似文献   

4.
在旋转编码器设计中常利用两个传感器去获得转子在某一位置时的一对正交输出的电压信号,然后用到arctan函数计算转角.本文研究了一种基于CORDIC算法计算arctan函数的方法,除巧妙利用右移解决算法收敛性问题外,还利用时钟信号的上升沿和下跳沿分别处理移位运算和迭代运算,使得算法的执行效率提高了一倍.最后用Cyclone的EP1C20F400C8芯片仿真实现该算法.仿真结果表明,系统稳定性好,精度高,占用资源少,能满足旋转编码器快速解码的要求.  相似文献   

5.
为了对流水线结构的坐标旋转数字计算(Coordinate Rotation Digital Computer,CORDIC)的实现时延和硬件资源消耗进行优化,提出一种仅基于查找表的新的实现方法,完全免除了迭代运算.该方法只需要一个较低容量的ROM表,以及对ROM表输出结果进行简单的移位运算,即可得到高精度的正弦波或余弦波输出.分别在Matlab、Modelsim以及XILINX ISE进行了理论仿真及实际验证,结果表明:这种CORDIC实现方法只需要2个时钟周期的处理延时,硬件资源消耗与其他实现方法相比也有所降低,最大工作频率也有一定提高.  相似文献   

6.
为提高坐标旋转数字计算(CORDIC)算法的精度并降低硬件资源消耗,对CORDIC算法收敛性以及旋转序列的选取进行了研究.针对圆周系统下CORDIC算法的角度覆盖范围、硬件资源和运算精度等问题提出了进一步的优化措施.利用经过优化后的CORDIC算法,在FPGA中实现了流水线结构的正余弦函数和反正切函数,并把运算精度与硬件资源消耗与Xilinx IP核进行了比较.比较结果表明该优化算法在提高运算精度的同时能够有效降低硬件资源消耗.   相似文献   

7.
针对CORDIC算法存在硬件资源消耗大、输出精度低等问题,提出一种基于区间合并迭代的改进CORDIC算法.算法在两段式CORDIC算法的基础上,采用区间合并迭代来完成第二阶段的合并迭代运算.针对合并迭代中移位运算产生的截位误差,区间合并迭代通过减少数据移位的大小和次数来减少在合并迭代过程中产生的数据误差和资源消耗.仿真结果表明,改进CORDIC算法不仅保留了两段式算法在低时延上的良好特性,在寄存器消耗上也相比基本算法减少36.8%,相比三段式和两段式算法分别减少14.8%和9.5%.当给定16 bit的输出位宽时,改进算法的平均误差相比基本算法降低37.0%,相比三段式和两段式算法分别降低19.4%和24.5%,因此更适用于高速、高精度、低消耗的现代数字通信.  相似文献   

8.
基于CORDIC改进算法的高速DDS电路设计   总被引:4,自引:0,他引:4  
实现了一种改进的CORDIC算法,其迭代方向由输入角二进制表示时的各位位值直接确定,避免了CORDIC基本算法中迭代方向需由剩余角度计算结果决定的不足,提高了CORDIC算法的运行速度,并且基于这种改进的CORDIC算法和并行流水结构,完成了一种高速直接数字频率合成(DDS)数字核心电路设计.该电路在Jazz公司0.35 πm工艺(ri35sy101库)条件下达到1 GHz的工作频率,具有参数灵活可调特征,可作为IP应用于AD9858和AD9910等高端DDS芯片.  相似文献   

9.
基于CORDIC算法的QDDS设计及其FPGA实现   总被引:2,自引:0,他引:2  
设计了一种基于CORDIC算法的正交输出直接数学频率合成器(QDDS),并在ALTERA FLEX10K 系列FPGA上予以实现.该结构包括流水线32位相位累加器和16位CORDIC旋转器.系统的时钟频率20M Hz,频率切换器时为一个时钟,建立时间为20个时钟,频率为0.004 656 Hz,输出信号的频率为DC到8M Hz.  相似文献   

10.
坐标变换在风力发电变流器的控制系统中应用广泛,随着系统对实时性要求不断提高,传统的方法已难以适应.在介绍基本CORDIC算法原理的基础上,提出了一种改进的CORDIC算法,该算法利用三角函数的对称性,分别对输入角度进行预处理,对迭代结果进行后处理,实现了整个周期(-π~+π)的三角函数计算,算法采用18级流水线结构实现...  相似文献   

11.
CORDIC算法在电子、通信领域有着广泛的应用.传统CORDIC算法需要通过乘法器和查找表才能实现多种超越函数的计算,这会导致硬件电路实现复杂,运算速度降低,此外它能够计算的角度范围也有限.针对传统CORDIC算法的缺陷,提出一种改进型CORDIC优化算法,它不需要模校正因子和查找表,只需通过简单的移位和加减运算就能实现多种超越函数的计算,从而能够减少硬件资源,提高运算性能,并通过区域变换使得该算法能够适用于所有的旋转角度.误差分析表明该算法具有很小的误差.  相似文献   

12.
在基于FPGA的神经网络设计中,提出一种采用直接坐标旋转数字计算机(CORDIC)算法计算神经元激励函数ex的方法,依靠移位和求和能够实现快速、精确的指数函数计算,较查表法和间接CORDIC算法既节省了大量片内资源,又提高了计算速度和精度.利用Xilinx公司ISE开发工具进行仿真实验,结果表明直接CORDIC算法的计算速度是间接CORDIC算法的14倍,证明了该算法计算指数函数的快速性与精确性.  相似文献   

13.
提出了一种改进的CORDIC限幅器,实现了在同一模块中完成幅值计算和限幅向量的旋转两种操作,减少了硬件开销,提高了时钟运行速率。仿真表明,相比于传统限幅器,改进的CORDIC限幅器减少了近一半的资源占用,同时,设计的时钟速率提高了约2.4倍。  相似文献   

14.
CORDIC算法广泛应用于多种超越函数求值,但其通用迭代算法难以用现场可编程门阵列(FPGA)计算宽范围定义域指数函数求解.为此,文中提出一种FPGA定点化技术,通过收敛域扩张与迭代结构优化实现CORDIC算法的指数函数求值器.首先,应用区间压缩方法实现指数函数CORDIC算法的收敛域扩张;其次,对CORDIC算法的迭代结构进行优化;最后,通过对指数函数求值器的仿真分析与FPGA实现,采用15级流水线结构,用双曲系统CORDIC算法求解指数函数,实现指数函数CORDIC算法的收敛域扩张.仿真与实验表明:相比于通用CORDIC算法,所提算法的迭代模式节省约1/3硬件资源,少至2个乘法单元,使收敛域由[-1.1182,1.1182]扩张到[-6,6],运算结果相对误差达10-3.  相似文献   

15.
针对正弦余弦函数硬件实现中坐标旋转数字计算机(CORDIC)算法难以满足低延时、高精度的不足之处,提出了一种单精度浮点数的正弦余弦函数硬件实现算法。该算法基于正弦余弦函数的数学性质把浮点数输入指数的范围分为[-126,-16]、[-15,21]和[22,126]3个不同区域,并分别采用泰勒0阶近似法、泰勒1阶近似法和直接计算3种计算方法;对其中的泰勒1阶近似法进行了定点化、诱导函数化简、预计算输出指数等多种优化来减少算法的计算量,增加并行度。给出了优化后的泰勒1阶近似法的4级流水线硬件实现。通过遍历测试,该算法的计算精度与C语言math库单精度正弦余弦函数的计算精度最多仅相差1个误差单位(ulp)。在UMC55nm的工艺下电路可达250 MHz的时钟频率,完成一次运算仅需4个时钟周期,具有低延时的特点。  相似文献   

16.
提出了利用坐标旋转数字计算(coordinate rotation digital computer,CORDIC)算法进行角度解码的方法。利用流水线的思想实现了高速CORDIC算法,并利用仿真数据说明了用软件的方法可以降低在过零点采样引入的误差。编制了用于联合仿真的图形操作界面,便于仿真操作。综合的结果表明CORDIC算法的实现只消耗很少的逻辑资源,在一般的FPGA(现场可编程门阵列)器件中就能实现。仿真结果表明算法的最大角度解码误差为0.0135°,具有较高的精度。  相似文献   

17.
CORDIC算法可以通过迭代方法完成矢量旋转,从而完成三角函数的运算。扩展的CORDIC算法在选择不同模式和初始值,可以使其应用于直接计算正弦、余弦、反正切以及在极坐标和直角坐标之间的变换。CORDIC算法可以通过FPGA中的移位和加法运算即可完成,可以采用流水线结构,满足实时性要求,从而实现计算精度、运算速度和硬件资源利用等方面性能的兼顾。  相似文献   

18.
在介绍传统的直接数字频率合成(DDS)技术和坐标旋转数字计算机(CORDIC)算法原理的基础上,就如何选择CORDIC算法的参数进行分析,并给出了推导过程。设计了一种基于高速并行流水线结构CORDIC算法的正弦信号发生器,在QuartusⅡ和Modelsim平台上综合和仿真表明,时钟频率可达205 MHz,误差在10-5数量级。给出了FPGA设计的具体过程,软件仿真结果和硬件应用结果。  相似文献   

19.
目的 研究面向超大规模集成电路(VLSI)实现三角函数求解算法及其电路结构的实现。方法 首先采用坐标旋转数字计算法推导求解三角函数的有效算法,然后利用小角度时的三角函数倍角公式推导有效的三角函数求解方法。结果与结论 得到了电路结构形式简单,易于实现且能对任意角度的三角函数进行计算而不需查表的倍角计算法,同时也得到了改进的CORDIC三角函数求解算法以及实现算法的VLSI阵列结构,并用计算实例证明了算法的正确性。  相似文献   

20.
针对采用流水结构实现CORDIC算法时存在的不足,从旋转角度范围、旋转角度精度的调整,模校正因子的分解3个方面进行了详细的分析和讨论,并给出了相应的优化设计和改进措施.实现了基于FPGA的CORDIC算法全流水结构,最后用CORDIC算法实现信号发生器加以验证.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号