首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
基于VHDL的可编程分频器在波形发生器中的应用   总被引:5,自引:0,他引:5  
介绍了基于VHDL的可编程分频器在波形发生器中的应用的方法,利用这一方法,可使波形频率在大范围内变化。  相似文献   

2.
研究利用超高速集成电路硬件描述语言(VHDL)设计某导弹数字控制器中硬件控制器的方法,结合EDA设计思想对该系统的硬件控制器进行总体功能和各子功能的分析、设计,然后利用VHDL对各功能模块进行描述,最后将已经过仿真检验的设计卸载到相应的可除编辑逻辑器件(EPLD)中,充分利用VHDL的灵活性、可移植性和可编程逻辑器件的静态可重复编程及在线动态重构特性,使硬件设计像软件一样通过编程实现,半实物仿真试验结果表明,硬件控制器性能稳定,满足了设计要求。  相似文献   

3.
基于硬件描述语言(VHDL)的数字时钟设计   总被引:2,自引:0,他引:2  
VHDL作为一种硬件描述语言,可用于数字电路与系统的描述、模拟和自动设计与仿真等,是当今电子设计自动化的核心技术.本文使用VHDL语言设计了一个数字时钟电路,给出了设计该数字系统的流程和方法.本设计方法具有硬件描述能力强,设计方法灵活,便于修改等优点,大大降低了数字系统设计的难度,提高了工作效率.  相似文献   

4.
简要介绍了VHDL语言的发展,详细讨论了VHDL语言编译器的设计;对预处理、词法分析、文法处理、语法分析、语义分析、出错处理6个模块进行了介绍,同时设计了源描述编译的中间数据格式。  相似文献   

5.
介绍了基于VHDL的可编程分频器在波形发生器中的应用的方法,利用这一方法,可使波形频率在大范围内变化.  相似文献   

6.
VHDL在数字集成电路设计中的应用   总被引:6,自引:0,他引:6  
概述了数字集成电路设计的发展趋势;分析了VHDL的特点;结合实例介绍了VHDL在数字集成电路设计中的应用方法。  相似文献   

7.
1引言 1980年3月,美国国防部实施了旨在提高高速集成电路技术领域尤其是国防系统的技术水平的“VHSIC计划”。计划初期就已明确提出需要一种用以交流设计数据的硬件描述语言。1981年夏,国防分析协会组织了一个专门小组来定义这种标准语言的需求。国防部以该小组的最终报告为基础定义了VHSIC硬件描述语言即VHDL的需求集合,提出了实现VHDL及其支持环境的二阶段方案,并由TI、IBM、Intermetrics三家  相似文献   

8.
魏东  叶葵  李维林 《应用科技》2003,30(1):44-47
论述了用VHDL设计乐曲演奏器的过程。VHDL为设计提供了更大的灵活性,使程序具有更高的通用性。  相似文献   

9.
VHDL在数字电路设计中的应用   总被引:3,自引:0,他引:3  
硬件描述语言已成为当今以及未来电子设计自动化(EDA)解决方案的核心,特别是对于深亚微米复杂数字系统的设计,硬件描述语言具有独特的作用。本利用硬件描述语言中的工业标准语言VHDL,设计了一个空调机控制器电路,并通过仿真实现了预定功能。结果表明,VHDL在数字电子电路的设计中具有硬件描述能力强、设计方法灵活等优点。  相似文献   

10.
韩芳  陈帅 《科技信息》2010,(35):J0023-J0023,J0032
现代数字系统通常应用硬件描述语言和计算机辅助工具进行系统设计,在数字电路课程中融合硬件描述语言是发展的必然趋势,本文分析了数字电路与硬件描述语言两门课程的分离的缺点和融合的优势,提出了数字电路实验课教学内容和教学模式的改革的思路。  相似文献   

11.
本利用VHDL语言设计实现了一种高性能32-bit数字相关器,突出了其作为硬件描述语言的良好优点。  相似文献   

12.
利用双线性变换法设计IIR Butterworth数字滤波器   总被引:2,自引:0,他引:2  
本文给出了数字滤波器的实现方法,指出了脉冲响应不变法的缺点.着重叙述了双线性变换法的原理,给出了实现巴特沃尔兹数字滤波器的一个实例.  相似文献   

13.
董佳辉 《科技信息》2009,(15):33-33
论述了在"数字电路"教学和实验中普及VHDL语言的必然性和必要性,对VHDL硬件描述语言的授课和实验方法作了初步探索。  相似文献   

14.
基于VHDL的数字倍频器设计   总被引:3,自引:1,他引:2  
介绍了数字倍频电路的工作原理,分析了倍频器产生误差的原因,然后给出用VHDL语言来实现数字倍频器的方法,并用Max+plusⅡ通过仿真进行了验证.  相似文献   

15.
介绍VHDL技术的发展过程,比较了传统实验与基于VHDL技术的数字电路实验的优缺点,给出了VHDL技术在实验教学中的应用方法。  相似文献   

16.
基于VHDL的多功能数字闹钟设计   总被引:2,自引:0,他引:2  
针对多功能数字闹钟的设计提出了三种可行性设计方案,并对这些方案的优缺点进行了比较论证,在充分考虑各种方案优缺点的前提下,选择利用FPGA芯片来设计多功能数字闹钟.本设计选用可编程器件FPGA采用硬件描述语言VHDL按照自顶向下的设计方法设计了数字闹钟的各个模块,并对各个功能模块进行了软件仿真.  相似文献   

17.
用VHDL自顶向下设计数字密码锁   总被引:2,自引:0,他引:2  
VHDL非常适用于可编程逻辑器件的应用设计。尤其在大容量CPLD和FPGA的应用设计中, 若采用以往的布尔方程或门级描述方式, 很难快速有效地完成。VHDL能提供高级语言结构, 方便地描述大型电路, 快速地完成设计。它支持设计单元库的创建, 以存储设计中重复使用的元件。它是一种标准语言, 它的设计描述可被不同的工具所支持, 可用不同器件来实现。文中以数字密码锁的设计为实例, 从方案的确定, 各阶层的划分, VHDL的应用, 介绍了VHDL自顶向下的设计方法。  相似文献   

18.
利用VHDL语言实现直接数字频率合成   总被引:3,自引:0,他引:3  
介绍了DDS技术的组成原理及特点,利用VHDL语言在Altera公司的FLEX10K系列器件上实现了DDS系统,通过MAX plus Ⅱ和Matlab演示了仿真结果.  相似文献   

19.
数字滤波器是数字系统常用的组件,利用ALTERA公司的复杂可编程逻辑器件(CPLD)快速卷积法实现数字滤波器的设计,是目前数字滤波器实现的最好的方法之一。  相似文献   

20.
将FPGA与模数转换器TLV571相结合设计了数字电压表.用VHDL语言编程实现了模拟电压的测量、模数转换、计算与读取,用状态机完成了FPGA对TLV571的控制.将数字电压、模拟电压的BCD码与ROM地址、数据一一对应,用查找表的方式从相应的ROM地址中取出高4位和低4位BCD码,对二者进行BCD码加法运算即可获得模拟电压值.通过实验平台测试验证了数字电压表设计的正确性.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号