首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
张良  韩华 《科技信息》2011,(11):I0101-I0101
本文介绍了基于CPLD数字电子钟的设计方法,在CPLD内部规划了秒脉冲发生电路、两个60进制计数器(秒、分计数)、1个12进制计数器(时计数)。在MAX+PLUSⅡ软件中此电路得到了仿真验证。  相似文献   

2.
基于Multisim软件对硬币存钱箱电路进行设计与仿真。通过光耦合器实现硬币检测单元模块,通过触发器及基本门电路实现脉冲发生单元模块,通过计数芯片实现计数单元,最终实现了硬币检测、硬币数量和总金额的显示及复位功能。采用Multisim软件对数字电路中的各种功能电路进行设计,学生进行简单的操作即可实现电路功能及其仿真波形的可视化,并可通过修改电路参数来观察参数变化对电路的影响。  相似文献   

3.
该文介绍了一种基于Proteus的数字电子钟电路的设计过程,电路主要包括多谐振荡电路、计数电路、译码显示电路和校时电路几个部分,并利用Proteus软件对设计电路进行了仿真调试,仿真结果正确无误,实现了既定功能。  相似文献   

4.
在设计任意进制计数器时,若需设计的进制数N大于集成计数芯片最大计数进制M,则需要采用多个芯片通过同步级联或异步级联方法来实现.以异步级联方法设计的任意进制计数器,在进行软件仿真时,由于软件漏洞,计数器并不是从00开始计数,而是从10开始.以此为契机,通过引导学生结合所学知识在设计电路中加入消1功能来解决该问题,达到锻炼...  相似文献   

5.
以QuartusII软件为设计平台,采用Verilog HDL语言,运用自上而下的模块化设计思想对数字钟各电路模块进行详细设计,最后通过编译、仿真并下载至FPGA芯片中验证设计的正确性.系统整体设计具有灵活性好、外围电路少、开发周期短等优点,并在传统数字钟的基础上添加了百分秒计时及显示模块,大大增加了数字钟的计时精度.  相似文献   

6.
基于复杂可编程逻辑器件的数字频率计设计   总被引:3,自引:0,他引:3       下载免费PDF全文
潘明 《广西科学院学报》2002,18(4):244-247,251
选用在系统可编程大规模集成ispLS11032-70PLCC84芯片作硬件电路,以Lattice Expert7.1作EDA设计工具,设计一种新型数字频率计,该频率计采用ABEL-HDL对其中的各部分元器件进行编程,实现了闸门控制电路、计数电路、多路选择电路、位选电路、段选电路等。频率计的测频范围:1Hz-70MHz。该设计方案通过了软件仿真、硬件调试和软硬件综合测试。  相似文献   

7.
宫磊 《科技信息》2013,(5):59-59,65
本文介绍了一种利用ALTERA公司大规模可编程逻辑器件EPF10K10构成的多功能光栅尺处理电路。也介绍了电路的主要构成部分—四倍频细分、辨向电路、计数电路、接口处理的设计原理,同时给出了详细的电路和仿真波形。设计可以应用在直线电机中位置和位移的测量。  相似文献   

8.
通过对可逆计数器和绝热多米诺电路结构及工作原理的研究,提出一种三值绝热多米诺可逆计数器的设计方案。该方案首先以开关信号理论为指导,设计具有置位复位功能的三值绝热多米诺D触发器;然后分别设计三值绝热多米诺正反循环门电路与进位借位电路来实现计数器正反计数和级联;最后,在此基础上实现四位三值绝热多米诺可逆计数器。HSPICE仿真结果表明所设计的电路具有正确的逻辑功能和低功耗特性。  相似文献   

9.
为了减少光电编码器在电磁干扰和机械振动的情况下容易出现的计数错误,提出了一种基于FP-GA的光电编码器抗干扰电路设计.应用VHDL语言对光电编码器电路进行描述,加入分频模块、滤波模块提高电路抗干扰性能,并对四倍频电路和计数电路进行了改进,给出了时序仿真图和资源使用情况.应用结果表明系统的抗干扰设计效果良好,提高了系统可靠性.  相似文献   

10.
磁通门磁力仪中的时钟电路是以单片机MSP430为核心,协调控制电源模块、时钟电路模块、数据通讯模块以及人机交互功能模块。详细分析了各功能模块设计的原理电路,测试结果表明,该时钟电路能正常工作,可以准确显示年、月、日、时、分、秒,且可将数据通过USB在PC上显示。  相似文献   

11.
在玻璃瓶灌装产品的生产线上,常常采用光电转换电路来取得计数信号以实现产品计数。由于白色透明或半透明的玻璃瓶在遮住光源时会产生透射和折射,使光敏三极管的“亮电流”和“暗电流”变化范围较小,以致不能正常计数。笔者设计了一种适应透明物体的光电计数电路,利用玻璃瓶通过计数器所产生“亮电流”和“暗电流”来实现捕捉在光路上经过的玻璃瓶,以实现生产线上成品的计数控制。  相似文献   

12.
曹原  尉乔南 《科技信息》2009,(28):88-88
本文根据程控交换技术,设计了一个程控交换仿真系统,该仿真系统的目的是通过普通的芯片来仿真实现程控交换机的主要功能。该系统包括了硬件和软件两部分,硬件电路采用单片机为核心来构成控制系统,还包含了接口电路和交换网络等各个部分,实现了仿真程控交换机各部分功能的目的。在硬件电路的基础之上,设计了程控交换仿真系统的软件部分,实现了自动局内的呼叫处理功能。  相似文献   

13.
为了保证数字相位计的使用安全和测量精确,本文设计了一种基于干簧继电器控制的新型量程自动转换系统.该系统的控制逻辑是通过计数器分别对电路中过量脉冲和欠量脉冲信号计数来实现的,每一次的计数会使不同的继电器导通,从而进入不同的量程通道,直到进入合适的通道才停止计数.该电路包括衰减器、幅值检测电路、脉冲发生电路、换程控制电路,整个电路系统测量精度高,稳定可靠,移植性强,具有非常重要的意义.  相似文献   

14.
电机转速测量设计实验   总被引:2,自引:0,他引:2  
在测控电路实验中,对小电机转速的测量,可以用简单的光电转换方式来实现.它涉及到光电转换、整形、倍频、计数、译码、显示,以及计数、显示之间的时序关系的控制等多种电路,本文是结合学生设计型实验,给出局部的设计原理及电路.  相似文献   

15.
对FH-408定标器计数指示电路进行改进,使定标器之自动计数档中10°秒以下各档用于实验计数。可缩短实验时间,增加计数次数,扩展了仪器功能。  相似文献   

16.
智能交通灯的研究对我国的道路安全、城市规划、节能减排等具有非常重要的意义.本研究以STC89C52作为控制芯片,以九点模糊为控制算法,设计一个智能交通灯控制系统.外围电路包括红外避障电路、信号采样电路、语音计数电路、A/D转换电路,当监控到闯红灯现象时给出语音安全提示并触发计数电路计数.系统采用九点模糊控制算法对各个方向的车流量的比值进行比较来实现对红绿灯时间的自适应调整.整个系统控制灵活便捷,能达到“忙道长通,闲道短通”的目的,具有良好的实时性.  相似文献   

17.
数控折弯机控制系统中对滑块位移的检测采用光栅尺,分析了光栅输出信号四倍频细分与辨向的原理,给出了采用可编程逻辑器件(CPLD)实现四倍频细分辨向与计数的设计方法,利用VHDL硬件描述语言编写了四倍频细分辨向与计数模块程序,并进行了功能仿真。仿真结果表明,电路能够满足数控折弯机控制系统的要求,而且电路简单,修改简单容易。  相似文献   

18.
智能交通灯的研究对我国的道路安全、城市规划、节能减排等具有非常重要的意义.本研究以STC89C52作为控制芯片,以九点模糊为控制算法,设计一个智能交通灯控制系统.外围电路包括红外避障电路、信号采样电路、语音计数电路、A/D转换电路,当监控到闯红灯现象时给出语音安全提示并触发计数电路计数.系统采用九点模糊控制算法对各个方向的车流量的比值进行比较来实现对红绿灯时间的自适应调整.整个系统控制灵活便捷,能达到"忙道长通,闲道短通"的目的,具有良好的实时性.  相似文献   

19.
半导体激光驱动电路是激光引信的重要组成部分。根据半导体激光器特点,指出设计驱动电路时应当注意的问题,并设计了一款低功耗、小体积的驱动电路,通过仿真和试验证明该电路能够满足设计需求,对类似电路设计有很好的借鉴作用。  相似文献   

20.
半导体激光器驱动电路设计   总被引:1,自引:0,他引:1  
半导体激光驱动电路是激光引信的重要组成部分.根据半导体激光器特点,指出设计驱动电路时应当注意的问题,并设计了一款低功耗、小体积的驱动电路.通过仿真和试验证明该电路能够满足设计需求,对类似电路设计有很好的借鉴作用.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号