首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 46 毫秒
1.
本文使用直接数字频率合成器(DDS)设计和实现正弦信号发生器,并用VHDL硬件语言描述,以Altera Cyclone FPGA EP1C3T144C8作为硬件载体,配合锁相环和高速DAC TH5565芯片实现了正弦信号发生器.  相似文献   

2.
地震数据采集系统自检时需要总谐波失真小于-106dB的高保真正弦信号,一般采用24位ΔΣ数模转换器来产生,关键技术是如何生成驱动ΔΣ数模转换器的位流。该文提出一种由正弦数据存储器、插值滤波器和ΔΣ调制器组成的位流生成器,重点介绍了插值滤波器和ΔΣ调制器的设计思路、仿真方法及其在现场可编程门阵列(FPGA)中借助DSP Builder工具实现的方法。实测结果表明:该位流生成器可以驱动一块ΔΣ数模转换器产生31.25Hz、峰峰值3.96V的高保真正弦信号,信噪比达到111.4dB,总谐波失真达到-121.0dB,满足地震数据采集系统自检的要求,并且具有结构简单、可编程和开发周期短的优势。  相似文献   

3.
基于FPGA的多功能正弦信号发生器   总被引:1,自引:0,他引:1  
系统以FPGA和单片机为核心,辅以必要的模拟电路,构成了一个基于DDS技术的正弦信号发生器.其主要模块有正弦波生成、频率控制、幅度控制、D/A转换和后级处理以实现AM、FM、ASK、PSK、FSK等功能.各模块均通过VH DL语言编程在FPGA上实现;后级处理采用低通滤波器和功率放大电路来提高波形质量和负载能力,最终得到所要求的多功能正弦信号发生器,在现代通信中具有良好的使用性.  相似文献   

4.
智能函数信号发生器   总被引:2,自引:0,他引:2  
在高精度实验中运用单片机输出数据经数模转换、运算放大器输出,产生三角波、正弦波和方波等波形,波形清晰、频率调整十分方便、稳定性好,产生较复杂的波形只须修改源程序,不需要改装电路。  相似文献   

5.
王睿庭  马胜前  金桂梅 《甘肃科技》2006,22(8):105-106,136
本文介绍了利用FPGA和MAX295设计正弦信号发生器的原理及电路组成,与传统的正弦信号发生器相比,此种方法具有简单实用、可程控的特点。  相似文献   

6.
设计的正弦信号发生器参加了2005年全国大学生电子设计大赛,获得吉林省级设计三等奖.该正弦信号发生器是通过凌阳公司生产的SPCE061A单片机对MAX038芯片的控制来实现的.正弦波输出频率范围为1kHz~10MHz;具有频率设置功能,且频率步进为100Hz;输出信号频率稳定度优于10-4;输出电压幅度在50Ω负载电阻上的电压峰-峰值Vopp≥1V;用示波器观察时无明显失真.  相似文献   

7.
设计的正弦信号发生器参加了2005年全国大学生电子设计大赛,获得吉林省级设计三等奖。该正弦信号发生器是通过凌阳公司生产的SPCE061A单片机对MAX038芯片的控制来实现的。正弦波输出频率范围为1kHz~10MHz;具有频率设置功能,且频率步进为100Hz;输出信号频率稳定度优于10-4;输出电压幅度在50Ω负载电阻上的电压峰-峰值Vopp≥1V;用示波器观察时无明显失真。  相似文献   

8.
正交信号发生器的设计与实现   总被引:3,自引:0,他引:3  
描述了基于AT89C52单片机、锁相环和开关电容滤波器的正交信号发生器的设计和实现方法.单片机产生方波,通过数字接口实现相位差为90°的方波,再通过两个8阶BUTTERWORTH低通开关电容滤波器MAX295对双路方波进行滤波,从而产生正交的正弦波.MAX295的时钟由锁相环倍频产生.采用连续时间滤波器抑制采样时钟的影响.频率覆盖范围0.1~20 kHz.频率和相位误差小于1%.  相似文献   

9.
针对有源电力滤波器(APF)对IGBT触发脉冲信号控制的较高要求,分析了PWM信号产生机理,基于QuartusII软件设计了一种全数字三相PWM信号发生器,给定脉宽信号经过调理与数字三角波信号比较,经过宽度可调的死区发生器信号处理后,产生六路PWM脉冲信号,经驱动保护信号调理电路控制相关IGBT的导通,达到抑制谐波、补偿无功电流的目的。给出了死区发生器程序。通过仿真实验,结果证实了设计的正确性和可行性。  相似文献   

10.
基于FPGA的白噪声信号发生器的实现   总被引:3,自引:0,他引:3  
论述了基于FPGA的窄带高斯白噪声发生器的实现方法,并给出了相关程序及电路图.这种方法与传统的由数字电路组成的白噪声信号发生器相比,不仅使电路的体积大为减小,也使得电路的灵活性大大提高,可用于通信系统测试.  相似文献   

11.
基于FPGA的DDS信号源设计与实现   总被引:9,自引:0,他引:9  
利用DDS和FPGA技术设计一种信号发生器.介绍了该信号发生器的工作原理、设计思路及实现方法.在FPGA器件上实现了基于DDS技术的信号源,并可通过键盘控制其输出波形的各种参数,频率可控范围为100 Hz~10 MHz,频率调节步进为100 Hz,频率转换时间为25 ns.  相似文献   

12.
为实现无线局域网技术中的高速Viterbi译码要求,本文提出了一种基于FPGA实现的Viterbi译码器的并行结构,并从路径度量管理着手,合理组织了存储器的结构,理论研究和实验结果均表明,此种结构具有译码速度快,结构简单,易于实现的优点.  相似文献   

13.
以嵌入式微处理器软核NIOSⅡ为核心, 将微处理器、总线、数字频率合成器(DDS)、存储器、I/O接口等硬件设备集中在一片FPGA上.创建一个SOPC系统.通过软件编程实现不同频率,不同相位的波形.SoC系统的构建是利用Ahera的设计工具Quartus Ⅱ并结合Verilog-HDL语言,采用硬件编程的方法进行实现的.通过实验验证.本系统达到了预定的要求, 并证明了采用软硬件结合,利用DDS技术实现函数波形发生器的方法是可行的.  相似文献   

14.
利用FPGA器件以及辅助电路实现了57 V,50 Hz实时三相电源的产生,并在QUARTUS II 环境下进行了功能仿真,通过DE2开发平台验证了波形的光滑度以及稳定度。系统的设计采用模块化设计思想,分为信号发生模块、PWM模块以及必要的外围电路。与类似产品相比,该系统功能强大,体积缩小,工作灵活性和抗干扰能力相对较高,可为工业应用中的许多实验场合提供方便的实验电源。  相似文献   

15.
基于FPGA的键控移频调制解调器的设计与实现   总被引:5,自引:0,他引:5  
介绍了一种基于FPGA芯片设计FSK调制解调器的基本原理,并给出相应的VHDL语言描述,该设计以Lattice公司的大规模集成电路ispLSI1016芯片为核心,使得电路简洁、可靠性高。  相似文献   

16.
基于FPGA具有高速可编程且其集成度高,功耗低、性能优秀且价格低廉、稳定性好的优点,外加一个可编程延时芯片来设计一个高精度脉冲发生器波形模块。利用VerilogHDL编写模块,用QuartusII进行仿真验证。  相似文献   

17.
介绍一种利用矢量旋转的CORDIC(COordination Rotation DIgital Computer)算法实现正交数字混频器中的数控振荡器(NCO)的方法.推导了CORDIC算法产生正余弦信号的实现过程,给出了在FPGA中设计数控振荡器的顶层电路结构,并根据算法特点在设计中引入流水线结构设计.  相似文献   

18.
针对线性调频信号用FPGAIP核进行设计并实现了单通道的数字脉冲压缩系统.阐述了数字下变频、脉冲压缩的原理.介绍了系统的硬件结构、主要设计模块和在FPGA上实现对中频线性调频信号进行脉冲压缩处理的方法.最后给出了MATLAB仿真和FPGA硬件实验测试结果,验证了文中给出的数字脉冲压缩器设计的工程可行性.  相似文献   

19.
随机数广泛应用于信息论、控制论、排队论、可靠性理论及人工智能等领域,利用FPGA的高效性、稳定性来产生均匀随机序列的方法为系统设计或测试带来了极大的便利.本文在原有算法基础上结合同余法及Lag Fibonaicc序列的特点,构建了一个快速高质量的均匀分布随机数发生器.实验研究证明随机数发生器具有良好的随机特性及均匀性.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号