首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 796 毫秒
1.
VHDL语言是EDA设计中常用的一种IEEE标准语言,具有覆盖面广、描述能力强、可读性好、支持大规模设计及逻辑单元利用等优点,因此受到越来越多的电子工程师的青睐。数字信号处理在科学和工程技术许多领域中得到广泛的应用,本文采用一种基于FPGA的数字滤波器的设计方案,首先分析了数字滤波器的原理及设计方法,然后通过MAX+PLUSⅡ的设计平台,分别对各模块采用VHDL语言进行描述,并进行了仿真和综合。仿真结果表明,本文所设计的数字滤波器运算速度较快,系数改变灵活,有较高的参考价值。  相似文献   

2.
本文对伪码的捕获方法串行搜索法和匹配相关法进行了研究,在分析理论的基础上,根据仿真结果,采用VHDL语言,设计了一种基于FPGA的数字匹配滤波器。  相似文献   

3.
提出了一种LMS数字自适应滤波器的硬件实现方法,就是用VHDL语言描述设计文件,在ALTERA公司的ACEX系列芯片上实现自适应滤波器,在Maxplus2上进行了模拟仿真和时序分析,并给出了该算法在MATLAB上的计算结果。  相似文献   

4.
基于硬件描述语言(VHDL)的数字时钟设计   总被引:2,自引:0,他引:2  
VHDL作为一种硬件描述语言,可用于数字电路与系统的描述、模拟和自动设计与仿真等,是当今电子设计自动化的核心技术.本文使用VHDL语言设计了一个数字时钟电路,给出了设计该数字系统的流程和方法.本设计方法具有硬件描述能力强,设计方法灵活,便于修改等优点,大大降低了数字系统设计的难度,提高了工作效率.  相似文献   

5.
基于FPGA的16阶FIR滤波器的设计   总被引:2,自引:0,他引:2  
研究了一种16阶FIR滤波器的FPGA设计方法,底层采用VHDL语言描述设计文件,顶层使用底层产生的模块连接组成FIR滤波器,并在MAX plusⅡ上进行了实验仿真和时序分析。对如何优化硬件资源利用率、提高运算速度等工程实际问题进行了探讨  相似文献   

6.
基于FPGA的级联型IIR数字滤波器的设计   总被引:1,自引:0,他引:1  
采用层次化的设计思想,先将IIR滤波器分为四个功能模,然后用VHDL语言分别对各模块进行设计,最后完成整个滤波器的设计,并对设计结果进行了仿真。仿真结果表明,设计的IIR数字滤波器运算速度较快。  相似文献   

7.
VHDL语言在数字电路教学中的应用   总被引:2,自引:0,他引:2  
潘泽强 《科技资讯》2008,(35):15-15
VHDL作为一种新型的硬件描述语言,主要用于数字电路与系统的描述、模拟和自动设计,是当今电子设计自动化(EDA)的核心技术。文章通过十六位计数器的实例介绍了用VHDL语言设计数字系统的流程和方法,并通过仿真实现预定目的。实践证明,VHDL语言在数字系统设计中具有硬件描述能力强,设计方法灵活等优点,从而降低了数字系统设计的难度,提高了工作效率。  相似文献   

8.
VHDL作为一种硬件描述语言,主要用于数字电路与系统的描述、模拟和自动设计,是当今电子设计自动化(EDA)的核心技术。文中简单介绍了VHDL语言的特点和相应的设计流程,并通过具体实例说明了VHDL语言在数字电子设计中的应用,给出了仿真结果,并对结果进行了分析讨论。  相似文献   

9.
针对I2C总线上数据信息的监视、截取等问题,设计一种新的基于VHDL语言的数据监视采集系统.采用VHDL语言对数据监视采集模块进行功能描述和实现,在第三方VHDL编辑仿真软件Active-HDL下进行编译和时序仿真.结果表明,设计的数据采集系统能够有效对I2C总线数据进行监视和截取.  相似文献   

10.
本文基于FPGA等精度测频原理设计了一种数字频率计,具有精度高、可靠性高及测频范围宽的特点.利用Quartus Ⅱ软件,通过VHDL语言,进行了仿真,验证了本设计的正确性.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号