首页 | 本学科首页   官方微博 | 高级检索  
     检索      

数字Dithering的FPGA实现
引用本文:刘欣,熊兴中,杨平先,卢远征.数字Dithering的FPGA实现[J].四川理工学院学报(自然科学版),2014(5):53-57.
作者姓名:刘欣  熊兴中  杨平先  卢远征
作者单位:人工智能四川省重点实验室
基金项目:人工智能四川省重点实验室基金项目(2012RYJ05,2011RZY01);四川省属高校科研创新团队建设计划基金项目(13TD0017)
摘    要:Dithering是抑制模数转换器ADC量化噪声的重要方法,从数字Dithering量化定理出发,实现了数字Dithering的FPGA过程,对关键设计点给出了详尽的阐述,仿真结果也论证了Dithering对ADC性能的提升有积极的作用,为Dithering算法在实际的应用中起到一定的参考和借鉴作用。

关 键 词:数字Dithering  模数转换器  FPGA实现  量化噪声

The FPGA Implementation of Digital Dithering
LIU Xin;XIONG Xingzhong;YANG Pingxian;LU Yuanzheng.The FPGA Implementation of Digital Dithering[J].Journal of Sichuan University of Science & Engineering:Natural Science Editton,2014(5):53-57.
Authors:LIU Xin;XIONG Xingzhong;YANG Pingxian;LU Yuanzheng
Institution:LIU Xin;XIONG Xingzhong;YANG Pingxian;LU Yuanzheng;Artificial Intelligence Key Laboratory of Sichuan Province;
Abstract:
Keywords:digital Dithering  analog-to-digital converter  FPGA implementation  quantization noise
本文献已被 CNKI 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号