首页 | 本学科首页   官方微博 | 高级检索  
     检索      

一种环形网络的可扩展流水仲裁器设计
引用本文:任秀江,施晶晶,谢向辉.一种环形网络的可扩展流水仲裁器设计[J].湖南大学学报(自然科学版),2015,42(8):86-93.
作者姓名:任秀江  施晶晶  谢向辉
作者单位:(江南计算技术研究所, 江苏 无锡214083)
摘    要:对环形网络的仲裁器结构进行研究,提出了一种可扩展流水仲裁器结构,能够同时完成通信缓冲和通信链路的分配.对14个通信节点互连进行了建模模拟,各节点命中数量差值小于5%,该结构仲裁器具有较好的仲裁公平性;然后采用Chartered 65nm工艺对RTL设计进行了时序综合实验,关键通路延迟比同等规模的全交叉开关结构降低36.8%;同时该仲裁结构中的仲裁核心逻辑时序受互连规模变化影响较小,具有一定的可扩展性.

关 键 词:仲裁器  片上互连  环形网络  可扩展设计

A Scalable Pipelined Arbiter Design for Ring Bus
REN Xiu-jiang,SHI Jing-jing,XIE Xiang-hui.A Scalable Pipelined Arbiter Design for Ring Bus[J].Journal of Hunan University(Naturnal Science),2015,42(8):86-93.
Authors:REN Xiu-jiang  SHI Jing-jing  XIE Xiang-hui
Abstract:The arbiter architecture of the ring bus was studied, and a novel extensible pipelined design was proposed, which can allocate the communication buffers and links simultaneously. Three characteristics have been found in the proposed design. Firstly, the arbiter is fair for each node, only with a 5% difference of the hit number. The communicated nodes were found in the simulation when the arbiter in an interconnect system was modeled with 14 nodes. Secondly, compared with the crossbar design, the worst time delay of our synthesis RTL design with Chartered 65 nm Technology was reduced by 36.8%. Furthermore, as the number of the nodes has less effect on the key circuit, the arbiter has certain scalability.
Keywords:
本文献已被 CNKI 万方数据 等数据库收录!
点击此处可从《湖南大学学报(自然科学版)》浏览原始摘要信息
点击此处可从《湖南大学学报(自然科学版)》下载免费的PDF全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号