首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 203 毫秒
1.
目的为了提高伺服系统设计水平和效率,对系统进行建模与仿真。方法采用MATLAB/Simulink仿真软件包建立了驱动系统和受控对象仿真模型。结果以具体的实例阐明了在Simulink环境下伺服系统控制器的设计、分析与仿真方法。结论研究表明应用该软件对系统进行建模与仿真非常方便,可以提高系统设计的质量与效率。  相似文献   

2.
基于公理化理论的自动装配与焊接系统设计   总被引:2,自引:0,他引:2  
公理设计理论为由多机器人组成的多零部件集成装配与自动焊接系统的设计提供了一种指导性的框架.基于公理设计理论,应用独立公理对多机器人集成焊接系统的结构设计进行了分析和论述,给出了系统的功能需求与设计参数之间的映射关系以及设计流程,然后基于信息公理以上料系统为例,介绍了上料系统设计方案的优化方法.通过对系统进行计算机运动仿真,验证了系统设计的可行性和有效性,该系统已投入实际应用.  相似文献   

3.
针对直流调速系统PID参数经典设计方法中要处理非典型系统,不能实现实时调整参数等问题,本文提出了一种动态参数设计方法.该方法基于经典双闭环直流调速系统动态结构,通过建立系统动态仿真模型,动态设计PID参数和调整系统性能指标,以获得满足设计要求的结果.仿真实例应用表明:动态参数设计可快速准确地实现系统设计要求,是直流调速系统PID参数设计的一种有效方法.  相似文献   

4.
本文运用MATLAB的仿真功能对古典法的双轴精密伺服转台的速度控制进行了研究,古典法是目前在伺服系统的工程实践中应用最广泛、最成功的方法.然而传统的设计方法工作量大,系统调试困难.文中利用MATLAB中的SIMUL IN K模块对系统进行模拟仿真辅助对调速系统的设计.为了提高调速系统的控制精度,在控制方法上选用电流内环和转速外环的双闭环调速系统.考虑到在对转速环进行仿真时要将电流环作为其中的一个部分,因而在建立电流环时利用MATLAB的子系统模块将电流环封装成一个子系统.利用MATLAB对系统仿真在很大程度上地减少了系统设计和调试的强度,并且仿真的结果也证明了采用该方法对转台调速系统设计是可行的.  相似文献   

5.
网络实验的计算机仿真是利用计算机对所研究的系统结构、系统功能和系统行为进行动态实时模仿,即通过计算机程序的运行来模拟网络内部数据传送过程,一些网络层原理的实验一般只能通过设计仿真系统进行试验验证。本文分析了网络数据包截获的实现原理和方法,在此基础上设计了一个网络数据包截获仿真实验平台,该平台可供计算机网络学习者进行仿真实验,同时也可给基于数据流异常挖掘的入侵检测系统设计提供参考。  相似文献   

6.
船舶总体装配制造物流仿真系统   总被引:1,自引:0,他引:1  
针对船舶总体装配制造物流仿真的功能需求,提出了船舶总体装配物流仿真系统总体解决方案,进行了总体架构的设计,完成了工程化仿真流程的制定和船舶总体装配物流仿真模型的建立.依托可视化仿真软件QUEST建立了仿真运行环境,进行了系统实现,最后通过在船舶总体装配建造中的应用,验证了仿真系统设计的合理性.  相似文献   

7.
基于Multisim10的电路故障仿真分析应用   总被引:1,自引:0,他引:1  
李伟权 《科技资讯》2009,(3):138-138,140
目前EDA软件已广泛深入到电子系统设计各个领域中,Multisim10是National Instruments公司最新推出的电路仿真设计软件,本文通过实例阐述了应用Multisim10进行带故障电路的电路仿真分析方法,探讨了该仿真功能的实用价值。  相似文献   

8.
单片机系统设计包含硬件设计和软件设计两部分。传统的方法是先进行硬件设计,然后进行软件调试。当硬件电路不满足设计要求时,就需要修改硬件电路重新进行调试。Proteus是单片机系统仿真软件,当硬件电路不满足设计要求时,直接修改电路重新进行仿真,直到系统软硬件满足要求为止。使用Proteus软件对篮球比赛计分器系统进行设计和仿真,验证该设计的正确性和可行性。  相似文献   

9.
灵巧航行体半实物仿真系统设计方法与应用   总被引:2,自引:2,他引:0  
以新型灵巧航行体研发为背景,针对其近水面运动易受波浪力干扰、舵面受力复杂等问题,通过建模与仿真解耦设计、环境条件自动切换等方法设计了模拟灵巧航行体水中工作环境的半实物仿真系统,并在此基础上进行了实时仿真计算能力试验、灵巧航行体半实物仿真试验研究和波浪干扰试验研究. 结果表明,应用所建立的设计方法构建的半实物仿真系统解决了灵巧航行体近水面运动姿态控制系统半实物仿真的需求,可为灵巧航行体的姿态控制系统设计和实航试验提供重要参考依据.   相似文献   

10.
VHDL语言在数字电路教学中的应用   总被引:2,自引:0,他引:2  
潘泽强 《科技资讯》2008,(35):15-15
VHDL作为一种新型的硬件描述语言,主要用于数字电路与系统的描述、模拟和自动设计,是当今电子设计自动化(EDA)的核心技术。文章通过十六位计数器的实例介绍了用VHDL语言设计数字系统的流程和方法,并通过仿真实现预定目的。实践证明,VHDL语言在数字系统设计中具有硬件描述能力强,设计方法灵活等优点,从而降低了数字系统设计的难度,提高了工作效率。  相似文献   

11.
以信令逻辑电路专用芯片的设计为例,介绍了FPGA(现场可编程门阵列)技术的设计方法和使用技巧,给出了所设计的电路的部分模拟结果  相似文献   

12.
对于PCI Express(PCIE)多链路通道来说,发送端使用相同的时钟源同时发送数据时,通常会出现相位偏移(skew)的问题.解决链路中的相位偏移问题,能够保证所有链路中的接收端同时接收并正确处理接收到的数据,这在高速多链路串行电路中尤为重要.我们提出了一种De-skew逻辑电路,并说明了如何利用计数器来计算skew的大小、如何利用选择器控制数据是否经过缓存器,以及所组成的逻辑电路是如何消除链路中的skew;该逻辑设计已通过RTL级仿真和FPGA验证,仿真与验证的结果与预期结果完全符合,充分表明该逻辑设计能够完全解决链路的skew现象.与国外解决skew的方案对比表明,所设计的辑电路具有全面性,优越性和实用性.  相似文献   

13.
随着我国经济快速发展和人民生活水平的日益提高,人们对精神生活的追求越来越高,其中,电子游戏逐渐受到人们的青睐,在中国具有广泛的发展前景.本设计主要利用数字电路的逻辑运算和逻辑处理功能来设计电路,利用Multisim7的制图功能来绘出电路原理图,系统设计主要分为时钟发生器、计数器、译码器、电路仿真四大模块.利用元件库中已有的元器件CD4514进行设计,它可以将输入二进制代码的状态翻译成输出信号,以表示其原来含义的电路.利用时序逻辑电路中的4520B加法计数器,在电路中进行计数.根据电路原理图,模拟打靶过程.本设计使打靶游戏变得简单,同时又提高了游戏的娱乐性与趣味性,有助于提高人们的动手能力,还可以激发儿童的智力,也很好地验证了模拟快速打靶游戏的可行性.  相似文献   

14.
介绍了Mu ltisim 11仿真软件的最新功能和特点,提出将其应用于可编程逻辑设计实验教学中。通过交通灯仿真电路实例证明,利用该软件可以进行可编程逻辑电路设计,并从一个可编程逻辑器件(PLD)原理图中导出原始VHDL语言,还可以将这个VDHL文件下载到现场可编程门阵列(FPGA)硬件中,从而简化通过仿真学习到的理论与真实实现的过渡。实践证明,该软件能够帮助学生理解可编程逻辑器件的工作原理,掌握可编程逻辑器件的设计和测试方法,提高自主学习的兴趣,有利于创新人才的培养,对可编程逻辑设计实验教学改革起到了积极作用。  相似文献   

15.
文章采用基于图形化编程语言的LabVIEW开放式虚拟仪器开发平台,设计了一个数字逻辑电路仿真系统,它不仅能完成组合逻辑电路的仿真,而且能够很好的仿真时序逻辑电路.此系统为数字逻辑实验教学提供一种现代化的教学手段,具有使用方便、节约时间、可靠度高和开放性等优点.  相似文献   

16.
自动售货机是能够根据投入的钱币自动售货的机器,文中给出了利用数字逻辑电路设计自动售货机的具体过程,并通过Muhisim软件平台进行仿真调试,验证了设计的正确性.  相似文献   

17.
采用标准单元方法的集成电路设计系统是一个用于专用集成电路(ASIC)设计的自动布图系统。本系统建有 3um硅栅 CMOS标准单元库,设计人员只要输入被设计电 路的逻辑图(或逻辑网单文件),或逻辑模拟的输入文件,该系统就自动调用所需的单元和压煤块,进行自动布局和自动布线,最后得到电路的掩膜版图。设计实例表明,该系统使半定制电路的设计过程加快,但仍保持较高的芯片密度。  相似文献   

18.
在复杂逻辑电路设计中,经常会需要多个不同频率的信号,因而系统本身的震荡源就不能满足设计的要求.本文给出了一种可以实现等占空比任意整数的分频方法,并以8分频和9分频为例,介绍了在QUARTUS软件下,利用VHDL硬件描述语言来设计分频器的方法.程序通过仿真和测试,实验结果符合设计要求.  相似文献   

19.
多通道高速数据采集系统PCI接口的结构设计   总被引:3,自引:0,他引:3  
构建了一个多通道高速数据采集系统的PCI接VI通用结构模型.一方面将硬件特别是逻辑设计部分模块化,另一方面注意了驱动程序和硬件的配合,优化软件、硬件的结构设计.同时还给出了部分模块的实现方法,并结合微机系统原理就如何提高PCI总线接VI的通道利用率和数据传输速率作了讨论,用实例验证了该模型的可行性及优越性.  相似文献   

20.
马茵  王慧 《科技信息》2011,(27):I0077-I0077,I0090
本文的数字频率计设计,采用自上向下的设计方法,实现整个电路的测试信号控制、数据运算处理和控制数码管的显示输出。一块复杂可编程逻辑器件CPLD芯片EPM7128SLC84-15完成各种时序逻辑控制、计数功能。在MAX+PLUS II平台上,用VHDL语言编程完成了CPLD的软件设计、编译、调试、仿真。本文详细论述了系统自上而下的设计方法及CPLD的软件编程设计。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号