首页 | 官方网站   微博 | 高级检索  
     

一种低功耗时钟树综合的寄存器聚类方法
引用本文:唐俊龙 ?,卢英龙,戴超雄,邹望辉,李振涛.一种低功耗时钟树综合的寄存器聚类方法[J].湖南大学学报(自然科学版),2023(8):147-152.
作者姓名:唐俊龙 ?  卢英龙  戴超雄  邹望辉  李振涛
作者单位:(1.长沙理工大学 物理与电子科学学院, 湖南 长沙 410114;2.湖南毂梁微电子有限公司, 湖南 长沙 410003)
摘    要:随着集成电路制造工艺的进步与芯片集成度的提升,对于低功耗芯片的需求越来越大.时钟网络功耗占芯片总功耗的 40%以上,优化时钟网络的功耗已成为高性能集成电路设计中最重要的目标之一.本文提出了一种新的寄存器聚类方法来生成时钟树的叶级拓扑结构,通过限制群组的扇出、负载和范围,对寄存器进行合理分组,减少了缓冲器的插入数目和总布线长度,有效降低时钟网络功耗.将该方法整合到传统的时钟树综合(CTS)流程中,在ISCAS89 基准电路上测试并分析其有效性.实验结果表明,该寄存器聚类方法在不影响时钟树最大延时的情况下,有效减少了时钟网络20%以上的功率耗散和20%以上的时钟偏移.

关 键 词:低功耗电子  时钟偏移  寄存器聚类  时钟树综合

A Register Clustering Method for Low-power Clock Tree Synthesis
TANG Junlong?,LU Yinglong,DAI Chaoxiong,ZOU Wanghui,LI Zhentao.A Register Clustering Method for Low-power Clock Tree Synthesis[J].Journal of Hunan University(Naturnal Science),2023(8):147-152.
Authors:TANG Junlong?  LU Yinglong  DAI Chaoxiong  ZOU Wanghui  LI Zhentao
Abstract:
Keywords:
点击此处可从《湖南大学学报(自然科学版)》浏览原始摘要信息
点击此处可从《湖南大学学报(自然科学版)》下载全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号